From 926b811a847c0d460b4a524258a2625f247e5bd5 Mon Sep 17 00:00:00 2001 From: Mark Qvist Date: Sun, 20 Oct 2024 14:04:48 +0200 Subject: [PATCH] Updated docs --- docs/Reticulum Manual.epub | Bin 3586247 -> 3586339 bytes docs/Reticulum Manual.pdf | Bin 3642596 -> 3643583 bytes docs/manual/.buildinfo | 2 +- docs/manual/_static/documentation_options.js | 2 +- docs/manual/examples.html | 6 +-- docs/manual/forhumans.html | 6 +-- docs/manual/genindex.html | 22 ++++++++--- docs/manual/gettingstartedfast.html | 6 +-- docs/manual/hardware.html | 6 +-- docs/manual/index.html | 6 +-- docs/manual/interfaces.html | 6 +-- docs/manual/networks.html | 6 +-- docs/manual/objects.inv | Bin 2624 -> 2638 bytes docs/manual/reference.html | 39 +++++++++++++++++-- docs/manual/search.html | 6 +-- docs/manual/searchindex.js | 2 +- docs/manual/support.html | 6 +-- docs/manual/understanding.html | 6 +-- docs/manual/using.html | 6 +-- docs/manual/whatis.html | 6 +-- 20 files changed, 92 insertions(+), 47 deletions(-) diff --git a/docs/Reticulum Manual.epub b/docs/Reticulum Manual.epub index 6554c5ed9059072978f2e067f09998690d989161..a609a35ef681c7fd31e223cc4517f49a1208331d 100644 GIT binary patch delta 28145 zcmV)pK%2kE@!A2S^4bBg9sz&6Zd6(4NQe}D1ONb46aWAV0001EZ*FvDZgehhaAvKT zO^@<65Ptd%WBsx$2;Gtm7^r{E)yhn@E*6fn z-|uY}7l|$8#_?Tu=7=IO&$yt%p~)g66%M%hVw9ZySAxZ2;F={2iC zurgUB#8xegwx6A#Bq}o^k2cdK2W69@$iSu|cVwJ6jrxU}1qThh8icZNPL8>LF^pvn zn#4qtW)=$^>w}q~QS*Ok3}V{p7p2T<-IzL%L5`DAj%RyKPuN#X>q(dBv^DUhbNRp1 z&`f8r{u?x-Wme|KpP=_bZjFC5y1TY%G&+MA6qT&dII(r>wOZ}6y;G(Vh!%4F2SMat zJEnB4dul%G39coJ%Bt}adfDD77iE+&l|m1D7IeCjZBnh)zUzMnzZzHSt*p~M$AiJ* zb4Yv`&{^lgBSb^h!^HAj%Xiu06M>pD<7b(7H=2K?f^ytz`+Hu!|V4vhvgV7!e}NEOKDj2aXbh zjAUoK=BY}DCO3ZwX9+0XBAJG~UIa#9Lo89<%;`~I!ACP#wKJUl36H=8$A>{K*?RDu>;>t*jqI$P^p4%l+slJ8R zWzarFlW$1!E`dUp zhBuHdH7~^7NaAI940j-5bPRMmhSy6KmV|iOgK#5Bk%rM2W-nQCP$|WIDD><-M8z#$ z(>?&~WeXQMiSghG$HcbZOBG3CCPJpBgpT3$a;1Oa$tX)V(-FX+mn;hJ^bsC4A?^;W zI=#oZF*xT8o?Cd&bt0k|wW(4cQ5wrar*0hp`PU$tV)$7~WGuGT?&f^4`R@HJ=rKic zw6Ltwf{$fRZZTWr*JnNL(MqL7K7#amO{$tlaAwz>gk@uPm0*4i${8=!7}DD{smMlw zCT@QZbllYM55DfFNiXmvS79bLBAj}kIBc;7yeRvYs$d)pEc4p3uRv`Ji?59YRn@pw z%p_1@6aWAK2mripR9TXj_005T%000L7004AvV=iuEc)guj zbKAI<$KU%?utobUC(@xY~A9veJEfUQ`qbS*%DTn~RtG=?CB@;z)m# zZ~!nb_a*rWC%=FC?L*+cZU51}xagbKi9vrd zNIssd(lq+l`T6VX>zU{H$@^ItKcD}nHy#bn*-xI+-#tJ1^qXOPSP{o#f1rQdkkl~( zXG1=oc1!DOG@eq& z{rTZM|F8M)H-GIz;JiFI(I*z5h(iBi68b@E_}<5p>M!(4M{DQ(d+d>z-jRQkB4hE> z_s)W>tA99BhVkG8nX}$jlmEs!jPjv`Hj>!=c;W{hc{_VsrJMDMasH_)^t>wW(}BWm zu5kN!;eV|h8X+-ifZuV&zS7_KlbveY>g%=Cx3@yk8uwKxUMIM&ZIxegmG{Idzs};A zl|UbcG)vT~K6Q&=W|9Y!g6My95SNI{?}ems1B~y>C5aVp!zufV$pV{k71#_}U@5i% z$JQ5RBQY^tCup!Oi18yKo;n>3ZPL&Ux&<*a8d{2P$ng!t`0i+R@zQaLF?)~b9drWE zxFIjTOH`jmzlv<8EV4->n_dxF3U9>W4F%sX=Y`OR^L7)ZVXXRk<*|QElE*rTAl4L* zIiS(;ruZvKQ$KiSjYXUi&-mgbDXuYoE+QmFfF=EX;fC3|XesyL^*>&+$ZZfSTktuu z_`Z8A20{S>&sm27X*2|P0WE30rq4(i=%YGK>RgSM!EfY}t1ze>s1A<1R@unKq;KD#TS{+G|!|E$G}`Tw8=ImarhiN8;w^Wjo)c+z^;JP>>^k;Gb*xi@U5_y?XWGl3c&l3yM*4k z=dBllmp7Izej%o06Q;y?T9NfyGjW`UHWLCbI zhvTJ%u` zbCa$J?O)t==FyhsN9wQr)Ysa*6m1MmL;I8>jhR(xq`DNF7f6<o5q2o1+6o70@Aq@%@FwKU(U-hbO~z|i zFS%EwqVISqm$ba&ymGPyC*~%!IN$Xnhe{{EIfYVQj}67qh#qaz(fizZx4msTIecDS z*@Cls6FN{?hVjOHiiqnk>3dubnT$uO_;fzvQI#nvGgTucGhms7h6(nt9rB1IL4qnO z6(p>%CbNHeO=crx=2hUup|4wIIz?1@AYOsl2$6X;eHdKo#)&tBOKF%MudnO@V({i9 z(SpQuEIaXa58kTKX5`DG@e0Zwilg0-h3^E$=P>+9^_=d(xR*ya7iDyLK(OpI5(oUT z$bvL8?z6zznZQ+~%kt3XnuXRj>gfR$Bq;kPSxkS-$$PpAgHBXI#NtPJd_gH7Hi_K> z%U&19r?HCx-c}1x;=jWzHlE&-lx%Pl+W9C>d~vbgR;<8?RIFf;o77sg=p)9fk)EIi zr6Y@_|D46ed~Gp>d*h^z5&#=VY@?bUjVH&%i;te5zywnS@0Glk&{j<-FeUI_Nog@5 z)f<1cvm9ydgn7i8J}D;gR-Km@J%nJK(V=Y|BsHfx9vj56h!vhR)g`YpdI%L*Qsv9< z+R5^$>U6x+=piIwLFa3~XTFAe#_Nk7LK_xTbjY~U&BbD>lksw*hmeLPSsb$c3YyVa zP<1w-9T2LpU~?ngU~Z&N1w_UeOZBE3>P>&0iB}w#7g(myBTOjhCM&}V^9k?e;?>Bd zpu#E_2_Kxmc_wmmTTRAtVQ^E2liQcZtC34lWwoI%dA{yn$XtVykBaiDiftx&Y?Bj_ zLK^FG+)i~&W1+|-gcgcU_#N!?y5tg}w!ZjpR8l^X*mu^(B#cwz(b>pO%QaD#EU z>=hew2LVIn8XHH@`)vcw>Y{!{8>2@yJNld4@vq=#i-R_8ut^2&H zx$Fy!tpoa_`Tikp$ch|PH-iWduKT^VY7Y;tMJg6*V2)KSx&@Y3C6|KB)(>IozsRUeS#U?;EOYV_C8S<8%__W*x9vruxi1GOQzpnMmkap+}kV|wGWllZRjB@6O@ zboNOPIXJ(Oi)~KwU31yYBGiZVhwYq4=Luw31)Dt$yy7iBP$vkrd_$7d5As(;wkz91 z>NTRuQ}ODgkI>8Ig8v`n-M9*ZkY40m`e`IAm->H_chhNRkft5*%A}9jUwD7#(ad|d z_Q>;b|7QAi26;YSmGlu>v)cdsC5!2ZMREaSZ|hnFrf*>$Slh^Fz-x}a;7a#aea&Jg zNSGAV)6i~pS6T%YYFh`rb`D`B*~%h+-voHXpG#h;^buA@FDm=$i~ zxT|X4WTRu$`QR2A^4(&MPEwwhS3iBk%qIILA&d8H5>vq|L+Wcud1ccVRIyXCBr#zR z@e@h2NLMRb2Bjlb8dUD{IiP7o7q;Ut4c%~UR9k~I4KJcHZoLUeY;AvTc+pOAOqm@e zQP5;ZX@uXaA+J^j813n}KS^p#Vn2+jWJ)wQAyFRQjJWXfoV-#QU{q)Q(c_g%U-`ti zrd^lDyXn`TK00}P(`E7HNqH?ZKq z8Q2?IX6R^UbI2>5fxyh>b-3ANf$tV0bK{#6d#_GRa5I~$^0$O1HHX8tLJxL&LdA;o ze1{4a?6-%!4jBl}XHtZPA23N-Rlk3{fMqy!tH5UUANC9ygyDa9Q8Eym=A5$ek1|QV z{wSn6Mi=d69@Dz}N)>z2MamU!zD7V+ASNs8Pn>cV$yN(KMb)QFIhA~?JOU~Lp$DI` zhz;L~XM7H6aeBCtDyX^0gUW;PqG5=Tfrmb8mx5~jb{z%8D~2IL@BK)eXL96=8!51i z1wQnBjmiLW#0!6hp{N;-|HUuA(AL%OP{p?_W7dtfoQDVB?+*oM7jM}VXF!Jznz0BM zR}qa@3PVBfoN`hA-VaDp?a7t3Ux7_Yu`Iu4q1BvMfc1)?2r5UD#fN-zJZ#VH5j^R}sU3h#W2Ubg*u%9!@4WHChi7#qEEw#usgs9uafLs};E$hbtC* zoW{J87z%2(t1NANAF5vasY3&9KL?dV;nl-Xbfwy!XeIIONEHOA1;NR^+4UsfVnbrB zJgFPyTWd(Hip6V&k>Cd(4C{LBvjTNvxp`PsEM6Im1h*&txY7IAWuEe5$LoQSpv1|? z5ypKub+mu-V{SLS`EUqdTuLMRok)xBT6gP@Ez=BOw z*!U6A3KP+QiYV3v@MjZL27lZ=J!xh6=_iRY3$GAHq6*>PXLjGvPUO6qcHh*(#mj|} zuv5xr!yMM?Rg3S@-c3&uY;GQU%Vmbq?#?H1K3;!Cj09E07VKf){E&!rVn0;AC#R%{XeL!eG5<&4-8x1rJsxG)Poc^oJS%KLJ6FV(vAo|YeXxjEj3=Ia zX}=o4=yTDFVMXGr_|sUx!gY+;Aoe--y=Q;cJ{*N-&ZXFA_M67$`WJsq z@=s8704y89bPUvi-zFP?9o0SMb{yG{p399l;?l>4ov`Rh5{K&)x%vlc6@F|$A1rzt z#7)@p#U--pQ!m{2k)?S3pbHi~!C}Iz8L0UDLWsBE&HCdTvWzEr8U=sonJqy< zkPu27`Nx>knPT-(%oSX<34* z=s=YsSN>q7!p{vn#zasWDYQMH?$hbx)7i9b?^{dN@H`S3n-96cJgR!}sS02$X`zmD znEmL0<&1_^-~s)wg_vo&dVGKU{^Rlbc7A;|=>n`7Ux5(x-WFnZ>EZ72?%~J7{PW#x zdNsT4_de`5e&q?4c*2L?EdMAwnEzlD-VjFnjr#64z`l)qG-I`9{ z2|K{|(h@zFp|stQFvHo0TtyS>{ehz;U-OYW*jBgv?P{wX@k9bOgE=?h`p5K7jr~Uj zbC$Kmiiy8O(oK39~8HjZ{tD%)ebdeY?MX{5t#A0qVXq3cx_zV9rj6iR-M_ zI(xSovIs4Y)rYDDq1lSub0>=C%x}I!zB-2jC=e_?ggjejw1kzDtU5ugqbtAx{n10H zu{6#C*GY-j9U-4W0TW0TjH5pr;!yePg`4g;K@J5_pk*+Y{^ft^^R$rEU9oYUR#{!M z?xs<|1v&@g^}mei)n2@(B4hfZD-${-=_g;;el-uIZ)=tb*&C=LF7}mZ-V>0Vhnksm_~rxAo!OQAps1j;4GwyA$C;qGyEJN@(OzFW{Li##jv z#k4SH^yDp~gU>lT`a@@X(gba!P+)}e1fj&(L=daMVFsOPGS5daT@tq@RNx1y1!GIk z<`uRzRP2*^9meDsc;Q|z`>Z+>z==XunJT_-C!*0tG)c4J;?jM6~NH}p@T7V zX9lbheSLq}_H(T>H5_6yi2^53IT%CtgpO8O`Z+Djp#z*`MZ7ti!e*NiyW&2WRN*=R z&4jUmXC)OTQNM&9q*7o8iV9=?o)^M4k<81@{J-edx8+p03P5pTOyRc`B`N!I{JFD^ zX*ZKRF>nU8`l#i-XP;j9xVi=N740L}B<_m4EuVh^Jy2vAJ9hdTznH&0qrw#cnhayt zUTi0-Rzf=K-9Q=zR-nBwmhB{1hOzrAVEH@>v_O+#Y};826SKoa0@|#0jl4IR0yj`= z7;E>ulxks*eL()=Xx+ZBgAH;iPy_9Tv3JkCjPt4Y&hBv|g#shcWdnpR>u_bN$*FB| z3V45>S_6b0>vGkm39E161{k1P7=!W-)-(79S#jncSf*MS=kgAgG1cTJr5mvQgUrf;pgPk_SY5Pl600>0P5Fyki_g8n1oxs(R6~KUE8KP#*061IMI$RYpZ`H z>XKemGbJwZBdxt|8B(b8wSh}3U%gQ0+d`mvn_4Ikj~XWyrq$=lK>Km&m@Mo2e$8}5H^=U=B+ z*Sa6%Mq~vvplikm?N(ptu5O?L77#RJgj_4GD|>c2xnV4@0vOORV}w$x3_*4F-Z|J_ zr%@ah5GWYu_i~GacB(S2JQTFC#aO@ZX!V|tT(l03aySrQ0TRd*jMsY?^F%qF z`YaK&zr~okcQ8v-Qz^|6LF<3pF>>ll>l{&ybbN-W9SEqAF>=zY-VVAcztq;cxwt0W zO{0L!YZ3bbp+#6N&T}`6G_C^F06-yBND$8bU=e14H(!SOUphX#0^$%LA`}U&fsThe zWo*V*fE)oxggT)`u+`bF0IS#vaAN?6&?tW3xT*g_I-zR@m&XIvzV?3wa?@1lBG<*o zHrqa(&!GTo0a%PF194(6eAT)qrO;$$Ue za02^c8)GwH=+@#ZEWK89Jlz?eNC6h;ER5rPH`_V(fU5520A_#4Hr8%Fio<8x7<7$U zrBMKdiV8VJR-Lxh`GZ@eQ2+%>3S%$dtRUZh@QY~kTnflQJ7GNLYx&Y4YMn!4FA0>A zsFD1%?6`IHk3}@Wo^gDj=A%91{<>A}(f-=SA3I=)Y-5b%9q)z2sjs^|DUiT>%d26{Ijr$TM3`?2fNWpnwSU z3C2>MRiN9)io;Vfva*5sv5hg5_mjCBZX(v&>6Tw5AD4eYpZEes$aYWk^hepFbN4}( z!K(iklVHHx*v1&+w^T2Gn~o5OKZL->*v7cv*A6io`j9x!bSUy3Y3&RE<=NhZ3hY48 zU@Y++&#SBGz={Ww?@6b?4O9-sE1%8VzK~yuzCWV^J&-*ZGkw}9#>Da7=V>_C{m~`l zhPf1ofd+rVxa@aLsYnI{5XM$t>!-~SOXTZj;XZQ!HIU%x+YiMn^Vvx>%~>=83ig>$ z;vfX-f$HV&(*Xj+QwKB*#`^!^>Fez8iNdU!X{Xxv@Qs| zkZp|7e-?DfRYcd_H@6Xwi7OJO_C}Mw!wGY6d*v6bxu)k*c`?9}o#8U=z z1?Gr?(z1;8_j<)t0d>a3S3m?p0%P!Bmhc+;X_tsad<8_HC@^mSWeE%4^JC&N`ygjM zU*zR=2fQzv#s&pQ5AIliLu)0@Ybk}M`7@_!%>+ahY-C0U7>?Z1V;l+-Ek{@ok1UiU z2oZlgpZkHI&gWXEkO0|Pl|&wK1a%4KGy^(xE(TIvY-rkn-A$)}4TKBk5QB2q{0qtV zX4Kad0ry~=JIz3-Df%n#8+12Cf9>sYS{Ql<7=)Xm{Tw>(!0}C_|=4^&;o1%hD zyeSfYEP*EK3rg-8Tf~dPSkrd9I-KBxI5>Y$^^qIZTDkmvYONme=mDjIIZ$G9HT(AE z?(yb!I)CT@>Z}=Gfe`2z%ux~b%z1S}Nf$G>Tj17o3iLqbU=EFFnA!gt{sRf+uK+Oj zwJ`@qtej*XIjPpA&@FBiU4acM9fXEmg;6q3!;a93;0k0=wjiWyK>ue>E9eHTimpSz z2ILIpK!+-O;YYu8^d8EzOS#RXzzgIJ=1_-fTXlDDVKW0--|&CW|MuWSpMIl%a^F7v zKTt~p1QY-O00;oQZd9`bAe?&xylzypqnqUcf4pu~SxKV(0+9;<07F>-01f~E0B2=x zX>Md?crJKobZuD5I1+x(ui$cOsxnm@DaXTXGP0^CdZ(}z|VFWT=ue*D;erZgre`h=qNp+JefXwGQgzkU0AJB$*u`^qpn zOXPSo0m&62j^QqyI6>sXOv(Jszf7a8RtC-rU6_v;Jw;(mOv4QWf&w6Z!X8;(c<2$k z=nc#K)4%~H*zw;auH&PNcdfU1V+0c)e|3j}hn_oap5YZf4!#>P@-NJUtbLR$5ds*p zjsTXRXVP|)#59&MnqQc9LL7qKcC=ospc6@p)wNG(iSq39R@^XJKcs|&frhrygoG>E zjzK4^&1S6g5PEBn3)ZrS9}U-c5)ch9bW_R=lY?%qe2SeYLUBe@rlW0}MjXPlf0-)> z2L(dIpwjrxz}|(4=?t23BA4%|pepSwP{1hO{4ZTa58*Ryr{jnr{$iWmPD0`^Saj`9 zTckQ|2Z;Vu$a**r+s8nUaL?5c)rkdqB+$Uf&}pKHjjbF%9ivYVXj zriN^olMQRgzT{+IYRGPLvfCQ6e^E|0sv#TaWaApLNlrGYA)Dr8(;Bk-ob0}a%*x5E z8nRhVHmf1~nv;Dkk-h4)L07tnG#g+qb`(QnQ#^ zaVn`~EC*;LW_F!;rT_o%;nzQmM-+ou0i*2rcoBRuNErPA|C9Qex$to}f9pN`K`{zk z)M87^FVpdTYwA4pmrf8Me+qU8#Vy;WhTf*V7pd338Lu~y8nA{eL+>{>e2JGs9|ZpI z7?T3{>rM3q#7-NUm#}TXl?5V0JW2!XgFlp)Y}Msc12(94{!?>>5`qI*H(=#)To*+o zvFV}%D}5m==SQL6&Zu3We}doHuj6_43MGlNKsFS4K3!)v6v|GN{{Q%nR0NZP#*kdw zz*YajaliA96wCG!)(w)7;=Ok*f=Jl0r(Xvak|>#ra>o?!)X34$<%Y5)=`twJ(I zz>?HYSFPT7d^a2S2X?;))?LlXVF^mZAtc7&N1;=P7DYCQob4nLe{w+u=5e^PsUr(x z-Bz_}@Z2NYB^Fx@2zV!#=WjqR)A^jLEB`fKvkrkDZ$RatBL+vSfBqxRRLymu!Z*A8 zSD%uV%o{L8*tr68W%Y@o?)u1yZ4b?z)F(ETZqFeO3~FP!W)1(5LOWCBA{>zxYz$pL z^^gbPGMO_~B|RRJe@ki01$$1A{eV3jgBHUm9N&Hrl3Y_MyCZ~sKlOg0)mwD?J6b2% z7-&Z8lok0GvsEuc{O{vt3gy60dZGaJjoFPjU4=3s)GWGDa|6RK&67Fm=3@~i$tPXoz2kBR%a)$KSiW9 z826{&Cf4A}e;!@UK$_GnXAmdUwq-)WJOr<|AOXb^ts=k{ufe_5ySyFV-;4%h%eIE2 z!QITVCxfYda|b)c+G=CJCGQ{>JV$hA$oGj#M*Gh2vq@vZ=WZS{rD0p-hc!VU6nXj( zzv*REr`X{+rcBC`&AEB=)c0X+N|t~olSeXVi@aQ$fAnzR+~tL-^a6ZpX8~v;AsN9G z^)zciTXf}?TFK~v$f5$YWx7?i6a0TMjx4J$Mv#pNYzj;dPL?aNEfJX2@&?AqrBqMlFh_WS@SV|6q|K{mlrha%~a5j@M`wt zY$4Lae{sV_j1tLWk=rBQbKD_NVQMqzJ!kab@fJCpf*!ic^k(^rl|S7a{NNZrwkrEk z>=RC<08rh>GxB^3Oo#oZ2P=1Opp*jbOL@lq7_CCkB`g{i$i+fkX1JnJa+^!TRK>jR zDC$OV*xm;TlF&T&g1|Pxs}edZ(%pr8u9E}{e>_Mvrf(ePV3j)nroc@?#F$97lP*wc zT3r*w?_Y5+nQOp3N`hEm1aV_?#6VtZim0(lCF59n_e34JW>%CceWAEJMmzibG?>S6{OzClnhS?-%j@^Di z_{IkuI4;4D$exF=PN+G}TXLM(Zn%o*-iDr{7qX3APoSv$dK3v^B@Z}oq2!QzTf0U5 zi%D;t{uHAAWiXiZZin=QHB0UeJinl5e`dYP!sDNNed}(j^tV)_DQ=!^*1inB-4FlE z7{n6w2i^Ni$*oB*9sdkc0v)B?tg%%GFM)pOc*V$0xun^^QWgJf&&x`ER$?`!g1v$@ zyXA6Z(p&E5E|+B+&khl@qrP%?l2(w)bkN5k=Oe>5A} zmqTmXv%pl93UuB=Ranp(-Cxt^T!6*n)td^MQbu=1<9vU;Cl%S9}5a@!k@`Gub>xzA;v-C_(Ig6a8-vFZZD z!rZ?ZSa$zzHnyPmYPRb7YT3$2jKO~}dJs zP045+XZd{<;FMonovNwj*MBO6cn^3gM8>fg(FbH^m;W|*p@_GyyyYkrXh+V?UCk}w z7rY}}-o0ed@;xDaK(F*jEj}_<^+Hx{`+esZx$T_q8N{LX$_apR?1~Y;@axf@Y^la!i$O#k7D!R;^FG)L3-M25rIE>?UH&#+mtGG(z}CulYZvl+}*sg*7NDw z_n_gF!+ws`%t^m$<`a5pwDKf#Q>j+-Uc79;;d~4erW&t}#hUMme=y5Mf#|mfY}XGH z`p3Wbg3()!bW2J+0;~eum|f;R*EPN!?|>E zDpRqow%M6rpb4Q@tQTvwBeJYf`0ODn4IDl?KJP%eRR7zXqupBfgmUL!Y7zdnbLc=twlodFi|8lKOq6@>VCJQ<(ggf1@l)bIFofd3n_qPWYGm} z+vygOZRSC(N|Aoslh1LS2ChRFS$^xbm~Sp_Y)xn5evdv9zvGZ1uO|K0GoO9KQH000080K9Hgvo@(~B?G)} zRI{YcC=&y`Zd9|+;qVIsylzyp!tHM_1H5ijw=^9Apb!qcZd6$vfofOLIRF4M4VU6A z0bv7QdtJ9iE&)*qe`~-A50Fi{!$7>XW%Y<~S88rl)#UU-qnm3dfTb9N)PVt>2a9MeaX}5Gxeh9r| zHt3mQ!Y82Ig7JZd@vtzwa(O*sx>$pqt)T?tt)iDPkMWy^e*nEUg%QXfKyFz?a0^vz z@fAp1K<#Kn>aQ3b zI*8xw|NZa(u`jiPr&w&jZ;dmflfa`whKipXR=$j*;%*5WX=uqSVi_NOzWBAC`A%OB z(`f%Q7|u8R&9~pa{{GJ!eUc8oCnx|B@Wl5$fQn<&fA{wBdxQx3|Hvy7x9(5TQ26`F z@0X|lSq~2K+hIcD+t<}j;r|#Dgy@W8?;qswM=!_NKFs2Z@S$WBU^$`I5J-lASAlQ7 z{VRTA(i40jy=VHVL0;|q#54>q4zG^hpIp_3qw)PPVF|~1FD!vwIl$-EVcPk*Pfq^h z{Pg1Ye%|U>T#%?1g%SjKJ))7; z%M589gt6c(plb(nn20ZZ=5To>gh7+y{xMKPb%^d)7oX3L4zEs*C+`n0-+wqc`{n9= zEu=3SXqc$~`ptKhXnz!vWtd1$+DhDSp)(~7gpE08=uqduEScNSB|CZ{Wd8Lk!*`*9 zf4VN{t1((~^qtgQr8g#xFtP}Uz|Ks%y(*pRA?nPIr+3^Hoa1p9&t09M}>te|-`cvjBt(V9kVQ?+W2$X6!qLi->4R_%TeA z8C~0Ny(r_D?^~B~=El+}b{>yj#F4@u%I9xl7FyT=35O1SoCS_CVaw&jWeFP+>(GmO z)I0=UPV6}cCBjzwd15CmuoIl~Cp{z6Y2VzcmoNiSYOxUe>6OhY*5QRJ=RIrIy{l=VAJO=Oc44vRMJ%1qi26{O?F*TMn))98i&zOzpwU>-bK&Qa7 z(9tGhv0=?3Nfmd~%gGBXf8}dpQHVy; zX%=cpWBVs=Q)v#ATbO74>B%Z>0AAr35Ymg@n+}b|NJNUN&Pug)w?U?ew}` z)Xtd9a53B1a}l#QfAGk!60v1ALoI}s-}Z#aSos!<5SJiw{bG>P?Y?0P!z=}PB90#I zQ!rW<73RxO=+OXOksj3}dGnd2 z<-8Nx6Xu3sJ!2i8dFbcaavw}XTF|wahWxf4rr~I4P6(P^fAEfeb8=fRhs%+HIDE2` z-H@2;0w@#Doyd%&K~#=@5OY7~kBGTvB(~1o9g~?-P88oUdq3CmW^DoGKH)6O+@3P* z9WhAmZ(LgT!2U7EwHEKk5Bp*K3(TyVHKxQ%Sa6;UWtWAaQDL^<0tw0olKv0?JB%Gx zRT>_PQq?b69??Y;OX^(!sgL_1FI{h=RoUGV(f%rrLR>HDrHU+<` zYNvG5u{p<{Rc|UwvFwyxdc{zLHn^qecCfyOW}{-WuT}@dlsW`N-9bxDw7+bCTlp%e z6I5d-_@Q`F2OS}nMIQOB++_%s1y#LZ>rJ@ECW%`478s&DKqvxyWR|f`F{a{GffFw9 zA9@yykl>aXy^L@Zkq%(7WB|S)4>GG|Q40XfYQ`5wx)zrg6ha_WZ!kUAxDY0dS<%2) z!>pvXm&6tva!esRvQvtznKhDSF~q_^s)|Y!@EF51ShNylsIXLeRWUAFP@@{Fy+~?< z#LWovd_R{yVw}b{2A57i0b_q$ng;R>EMK25y8>@h6VU4M{*tl%98s=d7s0_lcof<} zO67yFnShvtMYB{i>#WdhTd9d>9+4_IboA--*;S*OQZzzA#to#d&ZgpQS4MA?3?8~7 zp_z0P7m6Q{V2L>9?~hRAc?st6WHqQ{rPTIoB$Wa1^z7>7;@86ujbMMM1{o$8Uw?N` zS+IrdR6t@4OfoPZlUt6Zdc#C?4KblPGKz$?T-eA25Wj;3yAyHrLkRmf7W9ZMkUdiE zcN4XzC7V%#BvEm@^K`+211$JPfy;zb;aU-rkCl5|k{T`pQCrSB zp#+!V5MJf-`XNIqno@s3GLHaTlN5Vc7m!t{VG{9ZEg9NL{3MhX;1MX_6FOp2Zw6!n zeP&w?0UoxH;(|%O%0PEh)$h+wMInig^Ap@f!st2x|V(+JhBgz9}aFm zsW)GhDmA@(R*kuAgnCgt+XG4#*&0#7to3B zmH4<}ld#$2-T=0n?{x=lG)@s|_HnLx>5@5Lcp^iWTS5UNe@J?kRd1Q=iYqR&(d^JK zVGLeqy5;j#C)UlEiLP%~>P0T{CS&MRd3j?`eKyl|zPG;S(S7FbyXVnO&2kq#r?Vi8 z_+~$KC(_Yz_!5j~>Ks~6M4BV?Bqzm`L*Qf&;sckQdQFN}WvZ`Fu@bG^Ty|582djJc z4JAl11A$3G8`EYNPB~uN&K*`>C6G67M$$j_Q;zGx0ym7Imls0;Hvt%zPeTD1e}#{4 z8nGWKQZZYN3hy)EzS#2~y+?r~Vt6Rn5~tu8tb@sC0)OyI^BOPFjE%$FR#6EY3Zpkp zDR+;rcM3=?*^`bp%3?W@IMfKwasMv_jg%b^)}W#z!mgOc;be7M){mzT#21=+b966^ zv!_Td?HY~dx|h`T>TAGp(Q!Z=e?ld$uNi#ukYas)eWEuu44+7`)&^Uz8gO3`9=B`a zyWBTLLTY-Trt_+ll}Q5jq3?&cF`2Sa=!=G>VrUE(om#4Ey>9O*v_RQi+QS+~KeVw%GbAKtcW>Q&5hUI>@WL;)N=%1eMHf&Iy~p{lq^CK5=x z!0FxJI=(HI5=rr>wlbN6xbk^ai?LJ<))RXvFM-*hZh`bKPh{9@IEbIzRy5NQon?@$fWx)(YK zvt{HI-s%Jp$u&AwSxQ(%7b=UGrhAotMa=Wz^s<<{)Vj`6HB(M$DUv0Do^sIyHjsv* zZ7w8cK?lGez$E3!tz<*&IK^vf_!YU*Jy?^m=o}rZa8!K>3>@x@Ebr1w$#K!z`GINj zbyL#Yxls8PMUqTs&qH~N#kN%xx`vKUnWA*o?klF780qp>waV(@cB)rCNa!Db>pcuk z?6)A^GYE0FpUX+J;)LdDEY7W@&)S{2%qGfj)5Y6rmhsGu(GQ}l*(PmZ{0Z&ANc)pn z=sBP1Z|L~bZy=|V$$=vyU7utXOOp`GJ)!Qk5{YZvlJ#1%+<%#{uAHc4j@pq*-}h5j zl-fs@iylOyjhdGQcqvb;+>w!gEk|1_Nqv;p#7Hx@*e5#XPQ)G2n@ue&b0f1Pw>k?^ zTsTC9GayZ39E>$n8a{xQTU11lxC1Pr|x#SJVt^i2Sw z=%)=JCkW56O9Qjx1$G1n2F)B1*{5OxWA7j6EOsd};hQ%$DLI6n)VM-e1cQj*Gt937 z@s~*f-3^3VRe)8+HPy&ATK#i>Orwx7ld0oy-gD9BJmb%o?X1c9x32wJ#`+(^qD}5= z%Xx`Ry*T5;Yj>RD?t|=qUJ)*naa$xkY`})qN3I$Cq4RB2Z%VWL$#t3t6QnRqR&|7| zdwHcJv=59D<*sGsD|eWqj29(p&Sp?89jPa&e$TY^FJ7`tkY(26tD;0eIU30-0n{=m ziKvGV;tz>GRpk>{sE*uHm!tNv@YE4gZ6vZPi$0XbXYHO#mjJVWz^OM>YlwxFk3$TE zQ4@Q{H~PErAW~EniDgBE`ZQe_s2`H9n5{k~uT_Z1NU)se7bGiZ&f)F2b{ZhE4ywhBwm(@odI8pJu-UTi@shD zyacG|n$me$&ekvVx8V&#A^wWyUR$w%%I;soX9t_^$W7OOQ?c}WuJUogP1)O(`J?OJ z)%;5Qi8ljW6bI^uMu$|7tlkBMDn+h#q65-$ z;Y6p?EaFvveqre^RJ5}U{lOR@8IO_0ZQ$j%>?W5B%1Hwsa8863WNW|xTT!P;jHatd z=wfq-(*pH|!dJ@6F>OV_MHmqMGM(Uw@dDyI;UJ`%o7;?=tM=5xJ%rC$)DA_L57nX1 z_XsD9*Qvp{e~)!BvNE}LexdG4;*4m(N z)jHZX8&F=>QO5R0({z}H{_ql4;y!($doyL-pk%Uo);5ZwpJb&z6eJc^05JPb1*-4V zxr}rwiEPdFTlc0I;<}tsXE1I>$}ydJsj;TvPz2ZQ4h zsRy9YUEHwn2t|OC|61_n?Q$E}HDT&|L@E_q;YFXcrcI;4nB@U&UDqU{G3lkWB4VR7 zz}5#TmLkW!?KARex4hlpc4$FdDA!FeUsBt)_OOwI|?PklmZn7tx!|O+*1eTVCI|rekqmGmOE@o z$WQ~h+ECG74-+E)`qzJL4zUIYy8c*hbCBpcYym z^vqMWfbvAHb5R7KF9eeo(PYQ)S@^eqFka|^C@_}^G!`4&7-Kj^^k!Bn!1Sc*iURWM z%!{fj65#8yq$+t{V_lD|LqCi}S87aK4`Icp9ir?7=;2RT`-HXYSc{>ZQ5<`<<3jP{ z&M9LtJ7Wc!^>*02!HI9quid?VVYPERGGHZmCBSP zS;-;1k$nfWi~m%;V3COk>lPb-pn?RHJgxjJ3l^m4`WFe&%)e!;Oslc64W*?nmQgW% z{=&RgrZ#L3)e!4%cTE$n%OWLIh1g73lGe)!%Rb*jo+&n_TnzA1=#UQGBe?A&ai0~o zRPZei{L7PKnsp1s-tto%pL{s|_2lB@xZ`<#K0N(UoTQa7)BH3?pFgaBP1CtLW72Ar z)QeG;-|iuGy#-KQ!Pc&g6Fj&R++79;?(XjH?hs^fcN^RZuEB!4yK5k5a00>YPV)Wd z|Ef;iyQiM&UOly1_O9A9y{h}|G)WVJHh7tRCNvBs_l4AoZvQ^my>VyTkABU2^ZWO& z;%uo(nk+oAYpzYrA=^IX8ZA@(uk%mQzQrc!A-{aRz1BO$2G^xuca-#M$S9#K49Hl6 z&BBGo&)7Jn#h3kv)P!uBe^x8NEGO@VL=n`U0GI1YidzlJ;0|tm$?8PESXocGH1W*7 z6_$TMO^S@#h-d#2@xXZE{NB{)unYJj(cP}4I z`0J3#E_lh!!d_sn6v{c$kk)f^P_uxE5(>%!rA4TG8RA@4X)+YAXEj6Zf)vE zpiZEU>ZV{C$;8Dk5kjQE#x6oeT@V{3@qti=e4!L>2^B??Ya-4Ne@gvl+sa_SsVx{! zX*!?P-`@`m!_|pfD%bYHmFu_=&wabZP1+(A_nMSH$0i6Gy?jxX<11!~z=!^GRLt4_ z6C8NFyS)egz(d~O@ePhLkw1yL46)ti1p@q?KK0CCH^k{BjF5lG(KjT1`}6b9_3KE= zx2mzFgevKWME7sj`D{QA+B3fb&d z>w)XrN{Z8HbG#KZ_eTT8RZ6(c5Sm=8tR@=7MqVx+rCk(ihm;WPMNAOMAF;3TS%Cr{ zuMC3WX?F(3_CYZN#a||;9s)9iz10vxjmQs`i8^rGseR5qU=Xxss|>RiUQHPpY4rb8 z*GLeb#-0#7GU72JVdcE@c$3Pw6k$p|RNXdxh6z6V3hBRdGypT%)3pYHhivjKB~qb` z^aPTJO1RJ0aBBfO?Xyw~5{|nB?>MkOR3#G;3CF*0n2F8s#RLLIms;CVpa3hmANdgm z9w~kBYm}{ohMmV$ZDnorR>a#pqt%&V6t(3e$Z~>tZ~i)%wM;Go5@6D6&$#c){InuI zXA29PsID!%e`iSjAUr~wlx07pUe}%f)b@!xUhAwyeL=>#vRx^PN1x@2H2}yNyXery zNo1knZNDk`1Jd3$=*l#xoKeVXf(orVu`v{Y@7BohA%H+4FVGohDd`d3Ij|utt7n}q zfRfN=%bD8OA1A%^OMJOPJt?v#((i_}Ni}=D2{YrnqE@z8+8U8e#maM}^!`y1@?wMV z-CeH0JZ|@?H@)xfOkt_L=bwR{PvpBv#4)yT*$b_&iHfJ^auKS(i^Jfm#aum?x2IEm z-g^04Z@Hn@*N`#i@6Gw{;f0YGvYFYd8`E8>*j;u4PObyQY=s`&WAYjto^)5>{A<@y z4{J%}Ug{)cw8rJp5${&J_eEbr3b~8P8cG${!P=kH(Atn$+lvjhUEOkT>!5^6@15h`ds6 z*)X0Giq)4wCHN6qTV&H%uV+k@q+WN@C~QxdJ4eAub)<Z7Fr9{)y*4 zb>^Ap+UFBTyg(@e8bINJtyqJ37`8+GdfmH4aFK+Z1ly4u267Kc&mfo}8&Bgv7Am6A z@G#I;MZ8&|*L7I%5Ko#Cc~Q`K%JMd-!|*%sQ-O~3;D9Mm-+bA-0fJJuen@&6H3%yd zlcjB#zYl>mLbrY#?e|h3@()QTGzWcHLA*{)!}RgpShh^<@4^uzq=a8(%x1I9{0!LBKi8_sKt5<6~0E?2~eJb>?SB@PebRRyrvvM zHE>f@hmiFL!m9-22*6aMY9W@L&dK!Gq0PQv7=Ot2AM`WY{Agi`?Bg|;_9!Lm^K}hR zM;|luGajr(F;4YYq7B^2Rih4^toHWAOk-PYHy0rj4x!!2pa_6OF6Gr${YziFYU`O;sKlZ-DV@nK-P2-KN#xktFpP+5y=#Z%0$7I znFppg|Kgha5LngZVZtBEuui z?7l)j4Ai@PL9DNw6!E3YGmyg=q|q@gWHZDWUQ*Yz7 zs;97z^CtS5G?2Y_x-RV--C&!KKh2Ko%=LTMqgtc6?KaSI%+cWYMzV^zsWvgxE>BJt z^d6~U_j)V7QmVBE+7)B$gYi0Li!{TD^LXT-An=|yrXsuoxuZ}Wg9wGfCr4jQ-{Je% z0G#l#FKcHF>1-jS(D=}L!H)_@0QG8*dfk-0NAdUhrD5ekiXO+PD@fEvIj&j=**! zgs!NAI)TnN+=&6x+;onkY239g(^QK=RcpwnkAGOOD2tGAD`7ZG=SJ+H4u7f&q8Z?` zlO|(zeR+ky5j!&}2!t9qc9HPfbNthQAuVtlMi=o>f)HM&P$)KZqrBOW2{tm-gU3eX zlx}ifMlPeDXzMnVPTt+c)%(R)WCD1S7OW2C=|C{f-gX}!?QY8NF+0zGoK?(Q>vT=~ zn0ta}4ArT8Cfm643|pmZ4-H8Tw<=a|D_o0E$2cC>-|w0!_MN(kFNo^4!Wvnbz#_j; zR@U5b_jW-XDuxI>uq-sy{v}-Li%l$THyHO>G_eQSuXba$v(5W`X!Jm?g1%9 z_;|YQYboQTlLLjET_@9?c3iiYWy7kr5c6cRtjAQP&*Q1TToy|C040D(`Wd$0^?*=! zO)@L=>H5vZ$wFv+T0motP#jxek*&%4qoF{clsDnVcRdRn1K%sLrp7gL`H;}8=VV+t ztT~k+wZ?HQRl?y5Qu_uRhaKRPb{&4G$xg2`g`7$eE*wQ-tjT_*vu6{-XaY`I3~VRg zAM$|Y5Zvg8C`Q)y*@dG>6{W^1dl8|s{x(45w6L~*-poNELRV)ThBHsO?)cYr9U;O? z4eSs%AJNid`qNAqI(44Z8V3I{L5nmyeYb-wjV_1?_YvZ*B=P=|FJp3Fb2wP$%wby^ zYVBYK4(IfMnH{&IeY)@{wIH00`l8^`bxRHSlh^bWSD;K!gje$fylb!KHLg*(uYMy9 z%2a1@rwv=bqUN+y^a@Bp2a*t&)$67$BE?Ri4u}Q6RjJ{~%z{qyBFNWJ~;T|KRxf@X0!8W<; zQA(-36K|F#=^8Ce6q|~Ng?ZeZ>W867Zr;-Z=MJ>_Xp5dW**kcs>nevPt#1@^bv6S%(w((z9Y>A*cND5^g zmecuZ#X+~akUGFaNN=PWtNjKC&OTlTYN59eNRz)U$k{8q26Z*RWgo4_Fg;@rvV2T& z`hKamZxZOHNbDL=G-K3qE$O5ZJFQ?Z+o(%km8Hwuvc!+9t zW74lM#^v~K(UN1Sr2?M7)hiK=gspiEef;MxujO~O43BI*uF!n*WTA!(p>LQhzkNt* z4{wrf;zowMHeM%Qdn6pJQ|4PFJn5A4V6q;P+c3vXRg@KeNVU$;a-uz1PuH5G_Q)x> zab>lfg-%2Py`&H#Buv?u7vn!*sl%xRR`78&j7DUf+76RBPMu=&FyWVdB59Iy% zU*Tl(EN=h4=&2?Im`4Sy3h*NihwUy*e>b$(Xd*2GVmvLHPh)~MP4*F&Y{F)kdL?wk zB4HW)V=FU08vaaJ#B+I+J zxPGVyNuVFx1n1_JrnbVPveM?)ZpXkQ8&;yCd>qab1$GnVqVGA{i!O=!!xSe8x}Dyl zYt$)}z?{JXf+df=LpYn26uY`E(p59IKk`C6S41#VLhr{HQ>T~PsdA8e4o#+q1<{~$ zMjD#yceW5N+QL=4j0J6*`)K;PKqBuJz8br#YZ_~bS27!i!RnycDdffOwp+)zG5D)@ z1B^=<=Ydye1N$0GRsh`$vS#6|g>9C5L?h)-AX4SV+^SLc+z{2b_Z`fiRH;h?DL$M9 zuKoDkgs!m%a>|^Xmkj6je2R6Y4jo+Z)@YBRP5QCkyB#&m?vj}#p@ zILG~h!_FBqL7*35Nh!8^8Lh*n*^`NS;@ex+NVPdjvFCl6Kgv=lF&M;=q?_-ud*VL2 zz>YSxpj_3_CTPGh`5>PD!C=dgFcm-hX~Km%yC#WKE}!8hh2ynuy+!9QuE@gDi62C# zpH?ND7Lb0hFE=RCxo6M{kNytA>Fg%c>EJJ6UXI4q1|>t%wH8g3Y2PD&gLANcUBh;) zJWd+!l7_2IuD1`ruR&GYGe`$rB?-6TKnZt$bF%yp+!+os`%B zZe}%$Pyv$gPmqSC3oi3@vpsS%qmg+@FJ2tAzX!Mf?TJPo1g^n=nJ?T)oUJjGqiM+>=Zn`#>EbvTqrd+Rhm*^c^nc2-) z4WCZ51<*irjgn09(iAKbRY9u>z}-=l4VMAaPha_s%G8qhVr*}e{v@Px9_H_l`hI1M zad5bfr^E^$LtBY-nkkB{N~JjW;O=U4&UHI{R6Q-8h5ADWJ|L?9g>UB_?7 z#@`e1Rbh#AF8XGoHi}yKXk<4Tqs4@D8G5Qh{mO~I57!H~qQ#XV5BD5m%kwr-*`2vYLRISsdNca5t$ISN2K)ta=yptfy=T7g3~>8f&wb@6 zxYExPl^+;4Ej`d`ht#-~wSZh-JZ;dmtZCA8bJ*iJ!W5*j3+sMvH>mbYP&3i~)U?vw zkZx~{h58hIplpJQM0hg)d6L;wcSTKwPVz({n;ai*?{`z@)YJKZb;y;!`B0c`EYo{ zmoar4ixw5> z4Ad7(E3BziHjGL;WLWtUP;$L!rtN;Un!_Q>iuFwCQ7aKVrGy70gumgr$83++e__}X zoeh~~`gPgqN``60_XiH>fKg%UGJsxT;TSiq!;o$B1DvaxnmRx5aBw(=d;nlVgc>PhuAFcJ4EBcW}YV#>bfOmIX>vliVcQL;0 z#X|2*NRMaNP&U^Gp*Ta4Hx^K*T-f0;rE^gG-4%B*#m@2GQ+y5RaoKww$xiI(i%Tp= z&lJstU8%%Ch5JxH^Fv6qt%k8E`hxpRGcUK@1J}SA5gpdSV}K61!>f=#EeY>nPvDUv zA33c?quOsPvX?r%o3&U2@>x+RssypH>mzh5Q$@41W)%xA9~y#Q9czS$X*<((EIdz0 zPZ9Y{Wt*r?P(=gqmiI>^o1_JQ5<>NLVDY91I1&J$uB|~;##;4Q_fJ<)tL6!_W^J@} zR4^P=cT(6|bnoP1Q#f80Z?x<>PkZ`N$*p%$dFp*?k&;dvT9{KLNoJqeys9w&va%Kd1qqY>Vc_Q_^WM7twkh+9yum0LXYB~Z;iNf_BGgoEclJ$R~EYFAW zpb6IHBNSd(wv0}M5p!D8FzH<4fR|HfMRk3k8YxGm(77aB)HS#ux9YFt@xlD&+1Fg- zKj)w+-2MYU`^&YmR&`}kM0-nvh{TC}gmmGn_II)Q{`H5aDsPgn-F7o;C@go#zSYxX zxil{5VUAZo9go$Jg3(j+iWZzzgJWqWqRXhg+tJ_dh5QOCmZhBz&9e?zP-+}ovO(5c zIa{X7?7nyC^}Py8Ud z7r!jImAcPpjP6ocp2t92g)DlbLw_xI;(B+cTc8D!=cBa$8n%cuLVX&fPa`*tp}pP0 zEJi(A4yR@9Vvk;H^0`$@k!K88lZ5J2srO2+hIi}b^67>x!|(JY3RiEP(F{7r0lEvoFbQuz|h0X)yeBjnbin5_Lc?7otpL9q8|{+?-R7>u7LZxHnrpos9#l9AejOV2A{iSf*18Q}q{PvK zRuWoN1a;02wec1ms&dQk(8TD@bI+GPvQ~=IA~VlPFF6HL_>Zu{e9n{?$nRvhk{c$a z#Y>;FtIVo}+jWERfwE+!pIOZHDN!2A1xD&tA*72MF;@UzkqFjZOX|MZe`=@>2<^cD z@qMqLmP?=P(}f{IGp+sxGSZz)87`G-pb<56k1rog|3rwpAUx5Ap;u=vzSLZL8+2*b zAtmP^NU{N%pI((z+Veu5qafLyN(pBqap{;$Rs0~~fH4*Vf55NU9BmnoqoY^}#Kq}pwMFUm_ ziS7h4nY(=ShfpN7u@`B%%KR{wG|zhf82`ae6b>9f^wXwHuQ`ocy~|nUECFs5RoM6D zMbYm9OX_;e~SZdHIZ2-yM`DB_zk#j731xTy0)_Wjv& z%?je^POMTM*}Z`@ttNk9pY3%SNjI?El5=>!c$`y7E%C5!Q*vmc2sCyL7M-G>!(JyB z8bP#D^2s`dBEi_l`hK2TeE~+Is(&d&(A=2nifCPA-zDl^@b>hsLr>BlvF0T zNpcaR(sycj2rQL}?Bn>AU3OSn``}-JszqfyI?IVyRYbGm&ppm!hE4_p)NA=I6dS!z z57rx_hhtP3js0zS5%D5)@o4z|cz59OtJXQ}mjm$r9r8a5+hP9+sBjUo7vaAJ2?h!bG#D5#uwdZ8z=Qb!1_2Br7$h*rU{JuIfYaV2HpFgCPO)2@EM1GBD&|D8NvHp#nnLwKf?)!~42A^^D;PE~>|i)r{kJ$3^!|-+iiZmg>tAkLp6dt7zmfLpa<%sA0?I}H zsbU9Twe$0R1k21uLO>vZ_wYKJc>d4!-6;bHw_Qn$w>+alv#Qz)!BNG#j>LHxHsbP}%GQ*p7Jr_Pnl2AF`B4T#~>nWtpN=hveP`6o zV`)Bp-q!cq`fZJI9Z5BTmOHWrta-1SR@$noeRI8;&G#ok>(uCuFO2_0;>R^6=&tFL z_vY>Mea)U3@ZPp#>hm~-CiLR`80_%b$mcrW{)s{%#-~OgWt{o{V_Wa9Ww5 zRGI2M=n22HSs>`uB-xZC6WAv%5VS6&^NBGMEHZ8Z`ES1&+}S zpAED>K=y3UxF+N3lx=8v0Qb>u;p9_ZvlHugrE)C0S%n6UVqmeK?h7lQ!hS$kX7$`i zL#ZnZQASA^E54E!qpL!c!pp|==X^;h;HN`?JEX>;EDhT63a(r_ZJ>WOjl&>fpJkeU>%Qx;YJ~P zlq|x^PQ6)TvyF(vA3>B+(vz6BTmI>RJn@BgJl=UWYlDqJg-hW7%g%|}1^5a1BCzR+ zs#gp$Z8a`8I_cQfq?ijBll@J9-ei}Ld+fx!3hlRXw)O2z7D(v4h=*Fev?60+Ds$7nBLDB~E9k*6Qru2R50Zy4ex} z`5Ko!8BwvZ+Mo28AH)SC7*+47#2Jjy)F+%c1!w{Wd;^_+y=j0l^8uug0-*MM02vU+ z*lAtJmyiZ5ZWI{CNX5IJYT=f3O>jM@=%wF$osnC*rCy_J_QAxXZ)kl+m7o!JH8p-Z z016%U;$W3C;{=YH$oGD=;8qyE+rSwvue%J9nMeTr^s)zbaO~H!lfe!`a;Y0V>Af^Q zjGNlc@FeVK7-cw)2;L*5o#+E=ksvFt8dzo?tQ+z%Bq3%DD4XZvY9B9cK47E*QifjX zhTjn8Mp>*1fBG&rPcloU*(jdVS8VzcEkUSRp&L=}1&8zn>bGoaJRIckY*;-U(Su*; zV^OheY4T-<+y%VzTx<1lM3#0$?Kz;n0stXK!74PP7OiJ*=^_GT%gsb)wh`!}0Kg2) zGpLghO;@L~`4TNaFHz?1YgfSedk7}ps6JZ3T`43`1aIk%3i>#uxgvW; zE@QN~W|S+wSXG#!Ol?E1mt8C0+K06PwqRa>oH@Kz#%HZ&wfHE3-Y*C{QI7t}ltck_ z?s7a9YTw@TkC#u^veFTj%mrg0R9VDOCR``5+)>dq$w`MJZPDK}5jJ5{1or8HR_RE| z=D#!?Z~+|sfEw)Ls`b1+jScC`;U+&V)=fgp+Z9gzq)>~ti$f_+8b-~e?Tkupb|z=G zeaRN<%z^?+{Y(#aJK?=^6@u@TmM9m?2o|hmNK@UBjCpIGxa!KCK>Vo~EE^lhP`!^$ zJ1=AhV?LvMt`>*_+37x(Y$xu(Z$19V(huTp86oVA&Sw_}a6Dp_phvS!kCJM46q5N{$2&Pr{XSve&KniP)fbSmlk z%ggFUc;jB*=1~1IjO!OK=$=chhu@vs=#+srQl#rMGwI|@>#%+k|8_*xz-|{ISClvZ zfpAnO$#fbbrI{BVMOZ0rup>SBuKv^t*-${ynSGKxjO}^u0H+@ zbXE+YhgUdOF!``lH4y3H#oq0_A&9sisd}T6|&5zOBYAWAs;3`=e*Xg zni-9|SzS0SVnfad<%HsWp5qx6y2OEEm0ncy6Tc3=O&t=>M4G!lF#4>VRIi8UA~1q; zYN@c^N|KwsUM^|cf~0A8)X`~Y9=FX~{*|!=0X;S(3MF+kn_#ZOk`2Y$2I8pze?O63 z+MJQ4rc=E_n$6;Q=H1Wc>$WimE~D;lj_piEr(Ml6^wFi_uoc|{oF%b$CGlq{XHeVA z(s4klt4~3f(bx<>aAHN%{GjBcc{4FFm+T2{Kx_E%a~4FeG(`u)8j&2#|p^ zaL0^82eD7L#)~1-vk|kHmx=v?l@bd+oIYo@7V-9Krul^*c;1Z5_|^4YntW5YSyQyu z(1<-ioP{~p^0eLa+zY+VQ{}OcX|dJAfLJ)MFpzFEc-|WPJNrF15aUu)P7#sDjSIkH zz8;R0_^L{(b*%T@;6O0$yGhsYS%!Pt`0qM#3_aPKN-We%h?{m3jz+23ozY%wSpuSy znF4vNn?0zjz>XfEcjqNqaDWqXm+exH3LeU3MDd7&8xt#2cHP* zNt_m(p!|t95Z#tC{6s*fmbgH?=Yw)y^v?4LJDmPv4Sc*y%@9HpREl_qRX1=BLozIW zw_#OV)f{3AV11bJ=6Tdto%PUSw8V5i*b#CHR_Zz~>*#wOSu0cY%3s8$5D^Pb@TiIG~UL-nrX{Zi_}59lu(%b)7e%*$l1~JB57*D8Oj>7$sZZY3hHQcQ`GG@ ze{{N145x1PN4Rct^%|f_KiF!NiL*I|4=T^9F;)yzqioK5sa)p;i-*>V)RDO> zAp%!^LUsNAw%`-dWL1=T;Jt71y?G2jQ%yFQ8Q(pU)d2ci4`s9q^smHWx~@nPYH#hM z)FRylPPZjw%!RC8woF)DlUxOZ-OU|XL94Xh#44V1><$`BP28)%5e^crRT>Xjxx71& z8s9Ifs@>GVHLG1frcfVcn_xH5jNs|?>~A1U6TozHN+cVas|ZPT@O$%@PNfsig5lIi zfx)|p8GbiSG{y{g-1Qt5hR~Qkf8e!GEFV#E_K0Guro$t(4cuPGk1NAMkOcQA{t!rN z_q~R&L=sEgq*6(%+;xC-BIC#Bz+}07Ms!3xL}nMDT6xbao#da;@YK?S-sPpckyap_ zDz|74R0lm@J23zu>1ZAK<}SpPn1n)QdN~#MJEB~>D;xoO+!_b=#_*(wA^qk*Xp<(j3HX7{(WB&n;Z;?Lm9N+#l)WI$jF!c!P*pBsg2w+b)Ak~5& zP^j>bqH?)iM?X|*+=1i5-MI>OZ%$L;NU?gb4MP+)FM?>Gz85f$~* zi4AVGLlubsjH`bhbbm^ zyOGUF&hBarJwGTZAp3#LQsI{ODfSfC?$cRUE*!It?uBLFT_S&p&_q{@SB%%7RUY^0 z5>c`IoM(vKD(vV!cI0RuT$e?FunJSX=QBxysB}dsJ&{fsupHr_vLZ`p`z-%}M<{ zM}D7NJvScf`=R<%zhWz6p1(eWkXjdA(^f+@E3@<;d5P&+>G7hFj>0)HztxY)?6=3L z_tkrgyT&AssO)z_0?fALt@ity<)*5d#M`*irIQMMMS6c?nQu2*&$IM^s7oB8il~w4 ztBfI(F1fp`!ZX@cd24(pD|{I<1DC!$g$ee?ni2QQvlQkCNp{()r~7$Kj;~+2#*%31 z5mK%0?mpyV4lKT9Ubt?scqyXA>MLV7gyg`3)lKMJ6vuvMhEq53_y0~GAasbmO=6F- z3zmt}uyL!_W5q2#mU?*x?grK%GHpW>eoo`qT^#CM31`B9trna)JE=1Q9#11UwTrTj z2)oQuIM%E`Ih4Dten{6NQeR?=;El;BcuJ^+ImDK(qn#0OAtyZVrhY;NP}L}w5NP9y z^kSsLicG3V%y*2q=MIr!J#jzRojBB2p;ZgG!P?3@^3JMUmeP}$`J!$G+F_85 zz%nr<+}RFEoe>^SmUGUtOx%KQq2~!z{Dm}m;G=Tbmv(rB+S#6SW4-Zua)N>2^^OMmRt*S8_`9M0-yu~X(Ha2jr@!WZ9|8lO zp#Qr{g4#hzH2|Ey6M9f(4S*04hZF+B!rR2b*&ZZP2f)(*>wP(Br`Z7s0YM5r^$P9( z^Zc8X1T|+vKv+7tT6;K{IJ*7Md|z1(5(*3Yf6t%!Z*i~x_?HCbf`n=T#Q&N$ss#{2 z>VkZ00W|;m+vYv;{Eh(uVJP=MieUfiGz#kbkJHb;PB);}S^&YnN(kz}_8|yR2l%A- zx7$c37+PN7kc2tIe^;VS3<=?A;qK++YWM%>_5TVIK>gQ{pF#C?0Fr+V&i!rh5p-S$ zAo^z`*8>Qlp&cMWbM}x}Ai;V7^1osQaPeoEdPoQlHycN*|NC8{#UJcT1Z$sJQb9l< z|7W!SZgCH&s2;!z?Q;eRGC6|50~wt`!hv4u0rb!*M=*bjNI+5z0D4GIkZS`#5;~Y3 z8Kg{)j0alyTj&RR0~bP3k$@x{0iuw(pr}TGIHWOX8k|7!;DE5c0mL8=K-%BHr3Rqf zZvc77641$CYXHR31dxVo0eLrptt(Jh6F>|~h7$zU3=o4x>tF?KwX@=bOqv1oPz+|E zxMl#uzp-{S0|=qectNYp00GD;5Kar&c?_iYH#r0qw1Dg2lLsBN07yyyJ|PcC9VbJu z@LLKC1O)g&{r^`<5SapqsujQwSpza{1=sWjDrf}=LI#4iTLGW`H3ZoPzSmHCT%cK9 zE^H8I8+foQAfq+_jmY1M|2rD>pPEa8YH|So8=F#=gN6J16$|+D2JQ!14FGKYA2Czh AUjP6A delta 28020 zcmV)lK%c*(^4bB%@!A2f9sz&yg-cnmG5u(M1ONb46aWAV0001EZ*FvDZgehhaAvKT zO>^=#5PrrDWAm~q2;Gq_7^r{E)!Iz8E|!jS zI2>#i7l|$8*703;?ua5W&$yt%p~*5M6%M%hVw9ZySAxZ2;F={2iC zxHefN#7-@Zwx7MABq}o^-)*K#4$3A)k%3J`?#MWC8ube`3yvCiH3((loE&rWVi>C& zG>M5O%`BEU)(0~|qvn6FF^FlWUz9Sdbz|y4202bfIiBq`Jz-xlttVZg(>B0Y&gJh; zLo=Ph=5Nr9mRXq_e}X;;xikLJ==H9|Vzq z?U~ZG?y32#C%Bd@Dyzmz=w*AST$EA9R0=)pSeMzC;01zpu@hYLK~F<{0Wo zjLiW^Wpd4Ew-Q zVvv#SY}b5M>Ck`V2H`9LrCTJ^kk<>r>CrS&Ov|;Y1-0v+ImC=u`n`a)StTNZtH^VX zR;(k`_yyC&G@Kra>`y5Cw2!H-)COUdxFNMuW1t#Hj|>=mmV1K3k>t2Ls4w(zo9La0 ziI8cD9(o?>pO9PNxSnu)ni!mkT4Q`DO{9i6Axb~Zo}7Q!DUtDkNO+n!JrTcW^0PAz z(>WpZ8&-YZ1!=PzKTlkoi1-gA9e`jogb5~HZzZJy_LNmQzD z;dL2wNYUgQlDtcxkmYzfD<={d?O_U;1{(N#-?aIn&hR1LR5=O^BcVl?HRAEVompur#k`!qejbZkZB?pyK+=oKXK0s95 z;x+99z+Sd+k&_q?o^VWT`@K|=BxWLHYD(xBUN3)F8lH@@bUPgZ40_3;@J=7$Q4`|s zz^c=Gj2nY<&fvL)_gp6;icy;?^%149EOhGD0g!(UqA7--r9{SJTkUVo7n|?i&w?IP z6z>+6Ra)?|%*icgi~Rbmr#)J!w8%%0X4j;uc?4&E%}H1`W>*Oo*Pxv7QjH@Vk^R__ld(6Yru=LZ>0*x!N4-FE&B@8wy^lxN>Eje zYsE|g)%DX(rlol?CL9C~t0}+Qd%kl=T;1aC$%6;x-UGRNUp~1np4}JE?~5Pw{d!-# zxG#R-H}p$}Ye*!npy(F4Uf23_TjGA(+IN4&h|va{pr%p3H?7S#5@leB#Q05MVO70S z+$!5zd<$JU?IYple^5&U1QY-O00;o`g-cl#sKss<8UO$j{Qv+50001VZ(}ZQV|cxt zTXWmElE>fYQ?Tr+t=$LOx>%NUHp!G@JBe#-MGW0LG*n7pZ<7sdCq_Goc-?k$*12e>%)o?m;ZtNaYGYg z`D8;sp18^4U#aJwSWe(4)K5O1r2cj`?7wEeU;VWYK6!Z{;U{h&x5EBm5_o=MdG5!P@-M8U!!>#T9=SAPHFAHFd(40O zo-F9P{D&i9SP#Tc$$A$}_8aHW%7+5liX-RaiRZiY?d)xpY}O~%`KL0_^D?+k2LkU2 zf%lFF{@02y3$e8Y_#GGQEBk#f-ix*=zJ5b|wGqn3SQn*yoS-%y^Bh6|!<$tlcVLK`f+W|MM#C9pL z4P@D9L@kH-6}APne#F$(r(>pVX1YSRpmxekEAb5lzDqg2I~HBEBo4J^?;)!};=9%j zeeoQs+Zw&nvYl|tHnVKIxn(81k$^Xp{JzKwWsUQ86DC2V`*~%yY?^=BI*1_G6^{j= z(ebYMD@_v5f99PHy_(s@^X4nQ?4d8m@Hlg?Gys;oe zdDHF57sQlqf`nR6E4p4ACXO=GcFawyqlxOK+m}as3^v3qrzL-e*?ex>_H*0naH6#7 zUdY2ec`jYB?$j_>T$oiy66H*HAdmDwaw2ZN8+u$#4|d)P)GVfr^m4Uy!WVP#U z4Kh20FV?jdpQL~N%6#qmSv7F57$PYRuLIwwPKFK?mCvRK*7p}06|I2HBUrd5%VFyp z3M}s04w&nrW#q2aiUsH`NugO3i?Fug!_1GJ>DrSl96v*R8Bp~g}Dg}&U3twFzMt~mr#oG*f1P}=;6*CtLMtM?e5&E;fw03C%L*e zVH1^Q5N+(IkUHLyeaFR=$$Dgp&twx1OHXm(shla*)g(Bq{qQX+-VGd$NBD{8m&!$nA%jeMu=Gw}{;b+g_dH z%h>q@Z>I$q@!vrjSx@hALN~Yt?Q9k&zqvSQ3RYr9Di^R!B{c>u+r&gQ(wEerY-X|a zp3^8_ug#ZmZ%9J40Qfv&XVrF@JvAm#eDoy+CRiePFXgp@wyHvbC4u)+O3MkU{-~Md zNN;~9%_GY}{pBLw4&O>HAT-g4^W@kuPVSmG&DU5GlPk5GXHRkr#8ytwbqN=$VNX)H$ z_M_SPAz{jjoK#nhNKdW@{ibLSPp)Mmmg-=RMJ;;-R#YVylH1k~LE^or_Ko|YpKiAH z)F{A7Udi3)uK`)B%$;ihp1CQt*nxlAklawd^OGoWQ>U`L@tMS)V|_{e>>o*;^iYHI zD!%yPB+s#zRWDLw*kIVqd32pXg;lcKGr%j~;{$bqP|G(oPCP&RL{x{e8dA4nRh>#y zCj*3DE;juCpzqd|?+2`qGwElLu-NMVN#AW!^`K09DJqiz;(Xzq%RJW}?NNW{6aLNi zwjR{^L{&0CXwCBc^OrPYGZw`SjN0BcH_X1m%&@VU&!wn229i77JM}e-h#zw)Xr`mx z>8_+SEY-JO_M17Rm1HA}dc8^T$hS*TsSFTm`H{_>^BW)L(D-aTzw4n+B&wDHa&@xo zFs%pbtcdT$8-D8Kh9<;QJhXpX$H|u-%8t|Cl%Dv36F2juP7iJ)kVZ9!#B}fqljeF-QP~V6RqT{5X+(J=exgYln(9Rh zqin{?jH+Fq1DZy5V>=3xzzNn?c{Iq-^dc7 zBgyRB4!5sPDr%Wag#P@?i>UP_h&HO%1?uo#nNfS08C7QzwaX7NnWSwfq$Wehn7}0 zhoaKClvvrk4mO+A_ndrYZha$>`${5;TiIL{za_n>IUF_xdT`K_3RY(1I~1_wxIGkg z$fe|ZCPP?wK9`hb^!4)vEW@c&8n(;-P%~+eh7(1}rQ|Z_l+S;Ev`Mo4M=8}Y>NJOW zOzYN#D)*twge%>Bjex8`ELLu>IAtt~y%uam2URaJ(;GaYKLG)VRYG-?Ff|?X=Z$c!=ZvP;zzgmM?MoZ0cYbiwbr%(L|*%l+2w| zY|7tzK8?#WxvJ?`U{{iF%dfdhGQY~V$!r=p z#6A*L#PCu^j^<}N_^`H}PR2Mjx}7Gc@Ucn8Dq3P&E`$Jq%@cs(TZoBwjDn1p#V7a&d2VJ;{#P(8wrH;)L1J8XDa@x&iDW}98=YBxJk4~!%wPBxFQ?t>{Y%9Bkc z>~#FnD9cYTj(9o4J_kC%iyOv>$v zDbISrly?#Zd#4Xnnqj#m5%KgEPHtC`OwdAr} zbY0jtFwN_BM7c4RNPqk}_14+Rn5GXx7wva;Q%tFVL=& zQ<__})#gGJqZKJnx!=c@sXo?tzn zR>Yo8ewr>w;W|bf5c?c??lbQnj^$f!D&RHNs)HztOD)WDKny&032i}?MSM#d(Yp?3ycnX&5S694f2b2B;{9r zw`5tI!qG4HLbK!-N|x`6Ffa?dOF}#46ovz!)t19K=n~aN`mg z^{E@wU9yyq9}K~=FE~tiY6d1gzfkHfgm=KyFv8rPy)5i0zD5B?=B}im$X0(By+xWW zG^(6v6k(jLx$MNci7y$Xw(M3OCTRSUuO@fa_8JWCE8bpok^hcCZpv;~QWYJDQl{h& zMk?KI;4>zY(nzE40db#BAD_;qO=sU4qK5C0sHFK&o6Mu4mtU#?i6y(J=Nx7~T3|V2 zW;J-g_}fLSG+jMDe*f`!eLH`@zM8ZFR<*A|2uANNVs+`^?(y#7$HV;d-E4X_yKSZR zL>C&IaGXb++kU3WynGemzyGHV%&G?sMyLf4hq&WakgnZ%8q>MQ6s_lY$()Vp!Ll9T zMtAD;AYWy-hdAlIy@NidK3S}(+Ya@f8x2~ZCwhou;CVi^`7WPYyVQS;?##Wg1M*&W zW#45e(rzdu!>L0qt;vo4Afu%i^N|{Cid((9nqo(^NT6mg?OqN@29)}YXhpVtbqko4dy)rHVR*VyPdU!Rtt#hLR48#rQ?SzOrWW6>yx@{qI z)9P3Qs9F%3E%!YqVL0dc=3C_3`p^Icf~Aj;XUmj@up;rQ6~wJ|4LG1b`Uo|aM5*tP zgu3k!iY_!Tfn>pC^ygC?CV$;v(;g@Ap#ciC3?`+2@%lUqByoRMd|qc%R&QDN-Duzf zorB5szl>OEFWwUxxASps&1>MnH(?QaC-cv@b=srj)-~XO+QH=fPlEQ)3fmfBK;d9g z{_~C?jCkHyI{!)ETkC8pJ~Tjqvccs4&w|MEShmZ#b)k+4vSW5J4+5+M;4NmplmSd^JDMX zZ-t5*)<6R41(PlRg{(a`uW4rpERCC6=LDHCyRymU4`jkrTk5=NPJG!AIefYvp~1BC zcp?c9O%G_43x%bo>+e4vXRUCZ2`)4!fk3&yq-`ofxO;z`-A@0!x^EY>^rFrR@?v%| z$>`}@$R?k2{`7~*{-g?8aiPHo>Zbg01(R0}38JzrPY z#gIi-WL7sS)v6_Mz@=HM-4(#m0ilCQ=FSta zhV1j<$IpL_uG9#KRVNypK;>W(y2os`%H7XdScFY*;uUr0dck*3Xm^0x-h1{~!AE5k%vWrTT+^s6?k0a4^gw@+VbZa)-}uG+%^o#M0BAByy7v4y zQMnV++UN${Xs`n9g-O{?{bdk2zXDeDqd^Na876Hz?_nbTn2681)wYpqooR3bwT4OU zo`q6w?C}faFU08ag*|NGOM@C{H%xl>ESGV%^xj$>S6pZ?0$p~A&}A*|OjS9JZBBul zr`>-`gdS^i*QN?-A$G``EB_#6Y8R8ayoD_dQBdfz0sVwYUv7F= zL+D$zHa0+3)h;G?Iq%h7x+Lj3Y2|4yhm!TK4$fLs*isg(F%c%86wo%?c??I?+HKn`fy|LPFs$2 zCRo=11R`dL5NebAtGmZm;I@`Ez<^>IqE^j(qo?%>DsEN-2#As)LV`uKC8XT2vA(Vc z(zbSGv!UnVOsPY?&}f%en3U@IdLU7&7`;@_*Fd0KPYo2(jjz{%8U%SbElx<18l8X6 z31DYC9~z8MaUj%VcH}rQs*LvmnF9?vpdv;{6`6P&8l)`}R-9;10_`zEsK#8suDur; zy|=Pqu+O{aPJ4j{bl1+ga4d6ZiMkpU46ecmFwk|X5 zgF|l`1#kfh0COx$sEfdN)~Wl;ieTTLMj-&*)J5*U3FG3@YrhPd+-Vd6P*+{#=3J#| zn!nLf_W?-WW|7{T0$G-OvU%-`*G`NcZ+{SN%I#Ny?8##MM=J0zgL=Ik=0G$~psTvr zMXursjM+S2BHfwjNF5d=N$z1%&bM=%qXty>JO{{)+{0v{&kxQA&#W_O8?$ty0SXiq zCOv)LqVlJXT5q_y8x2sPq%c|Ps}W?!4}KAC=1T(^XeUg<`mKCv5q0as+$ebgm4A}t zOG49P;5Icr=GNp!#tSHI5E8d3Zk;-+j~&tMfKtI^(I@T;jS|mvf084eZLMp-0U3iy zn(y$p8H}!;Y{_!d8dyNVU^2Y>%&Wpn(YV z2_^wOkHB;iApuV-i%<`wL+)Wx&3p0O2{s|`?M%zBf=}>ZPJDqR$-SPW_)>niv<|W` zR{p=71RFrff{>h*Mh0MY7zhJV348SwD*b@unf;IZ%u(OF?!gA8aK%o_or;jO|dSg5rx zcnLKQLb;`Wn_RhdgFOXMG#8T3jDJp-OO-ch8a{U8HgWSWsU{D{kdcD-gE#JyO zy5k<^k%A&$6d&)+im4pxOt7zk2!sUYxq>2u*T_rSM9l4LAOb~!d4IT|2w~y5UPK+9 zyM?Uhi!8kMfa|=O>rgVpva`~O1|rZUmwQ-Y4a~6 zuk~oKD}vf)gzbv{%74ZN(_PVDwIfbF!wBjfguXt;VWKAMS>zk+2x=gN(r&ja%E{!r zBI#xcG79$wl9GE?KGJTcJ#NiOHpKpcsE<@sW8~`f)EGUY=>esJd1+#DHT(AE?(yb! zI)7*Z>a1#CgAnK#%&QaImGkm>h&EPkcfgJAH0XiK!MsXQ$$upNYxoa1)F=TUf-vt@ ztVlc$Nn&&>bcb77*I)xm2lEESDhT6w610St8`mHMvIX4g-cnml;m=M3jhH6R{#JG0001IWo~J1 zWMz0RcxZHOY}H&_liE5Ge$TJ)`qZh)soFp;4`-9ZP{lB0hRVQDjLB~H#pSUDcw=lw zmS={o{qft9EK9b*+~f&)0bLq>QmeZqwOVxkc6HaczD)+klB|O6t5+TRZ9K1nFu8v) zmxRQh+U=*Or`FSlR)iPrcOO4~Y(G;PlM{VHar#i8^u2h_Xy3nm`)50f6SMouFgi=* zcr*dY6(Ww|E*+d8a$%-q{^lR1(N-%%XN4}zM;|@K5hkYLMj=5V5I^}ISzdVP(Ra}s zmifEkhd%M0;7#H>0lIkCdMg_vJ_&zNcNlu;xzpwuUJ>}=cLSr~!c53IK*%|H>k+fJ{`-GM#&rWZ}jpFq~N=OuHXe&)fv=Z$Y zbi&$f#ySs?w+6XjEqnf>;Ra3uqTxkuO1WWj(9M-k*ok9=bDA<8ZQC?(1k-vmJxSK9A-j}hmo;R4N!G6+yOLyAHDm)xHmD){EXh9CkX=i%>l(5fNp@31 zHk4$;8nQ2v>`M*Vtt7jxAsc^5vQZ7$Sdxuv$R?6(QbRVCWYZe5dr5X*LuN@btA=bQ z$!0ZVUnSYs64|Rx8+4@$%JiNo6X*lz!SX$n5a%I41~U_xZT)1td;9ixQ)m`bD^4Yp zjO750U}o2eSNi>j55NCqJR%Hc1&p#2_>1t9L8ACC_)Qw*<|6RBdGCMW17Q@psKu6+ z-=^dH*3^0GFP$(%!4&Ke!Y$jThTf*V&r+}dFkWvWHDC={M&2K6_ySKt9|ZnS?2`ic z>rM3q#7-NU7qD%>6$M#_c$5a%2OpG|Y}Msc12(94{!?>>65@xjZotapxGsuGV$($j zR{BC#%#T99ol(0$Gk$;P-;U?mE0iS80@+aH1$3R+P$)W4`u*`8sR$+o#gJUvz}4Wv zaliA96wCG!)(w)7;=N--2U9&0Q?wBuPa?{7wQJi`j&5{6L<)c};GvdL>3*Q`UZk2j$5&=JE%tAG9@&Q;BIpdv84{9B)r zmCPG3C9`t{=8EbQMcoaMgKZDZoirdem2S@=4h(8zxn>RjkwQCD{6Yh z*q%>sj#ix7LysQ~^2WkWm{6}B^cACppMbL2VzVcA>NS;ugLz9QYe#Xua$w9Ch>e{p z)oC?|N+TfdN{c6Lgg_$)zn1EtkYWn62rE#jped#UaHd6BqFJ5I(au(9CvZMRq%|1# zr{5;l;L3jB*3v6P1kili_ER`h?HjJmgBlj>r#dfL6k~v%C<=TIwmjmZ6FHEHuu%~twfR-f$BbcI| zW-Vxot~gRF89flWSAe!mkIHu9|2G>)p4I0g$VUV=1*XSOmaFVol3`ZM8yF{-T9MK> zI_dkPZTlgNg*h74oJ14ezf(1`FtpggbP>yw&G=AR^VomP4(l17ENFH&Q$assSF;Q=3EYIim-Ux5(iX^w3qNH_unB{Lfqaa(nWFck+iG zYf)eXoB=&pO{LLL3bZe;`G7E5MIhgP)^LVgEYxKhD^f0wp44?z%D{b5($rVvQD7e=bVn?5%G?p^@B$iT7g#9TYZiC!>~BmO&vWiO zd+A36QxDrI0`^~|n)ML!wMJcF4nKsykOz%+Qr;%BJ7&3N)l?ohutO&-iW8ee&;x&- zIB2?d%kR*nfoA6}zsyi_e$QbtL`v@#2{1lZmRURRHMn=o1cGYz6`$I5C6>=#1i%Qv-?ZQtx02Le+4Olj#6&c z*s6nE{0OUp+1H!ayc?-aQ3;&W!c8FyRZDlt8B+( z9K`^+K0<0oJTUdrp{Hvl^YfQ(={g+^$HUQVWM2-gY0m;vRVvUKJgKmtHM)Plrmv6y zk0&^e%A`|}l<`?~a;@2(rTH=4FoA-jVOms{5vQFKLNoAk(teeln@zr2z!^*Gb%IbZJ zEze?ACbzxen8&H)$$er}c8f6_2&U&R#_ARr7UurVz_R;yv#|xeSF_cvua={Xz!>~% zGMs*sm^{DV!RF|34#(DD`n7i}VMR%^qveM;C8KeiFQ+_CPs-}OIAFZhn*)z6N zA##pkMBhD`UH;u%91L$?d4f9qPcJ#Ncm_w`eJedui;whGy^vMg z9;W*uLAvtHklp*PoDdktB4c=*sz-aWrAmLGNp+%E(Icupf!ti){42-|xBL)tWAQ<6 zA@|?oX5v6WSwheDS=E1dE^hoXO3mrl>*9?gnCjvUk-DO~>Eq_6YOeVbR-dOltIUNju#*~~AVM;2kKJ>VGnll!O1~eq}zk*B|0pYucm9d&<}3$^c5m8l8{D_QgBxtsSTk zG}RTbWVotYTdRMY>xQaOmEvYhJx0XwLl~WWe6_K-;Z>K0c19`T;8{lV3cD4wH1Fk7 z?O~eYK$$F~H1O;+0ei8E1K;&CGYb9KR;B+ySr7;?DgX|j&0dysoTgq3FwMHRdbb1n zdfMv`V23X=nu0kL3>qvVAZYraXqPRg63e8226pbJ62^Z7f*kBcPcWY%r8)ecMA>_~ zEU{Nq!U6rqpx1W1T(eMLFn^_jGg-HDmjXye?p@G!oNf`(rgUmmiuBtaImb8+U575R zJnFWXZ_aOQO=shNkG^fb>D}Ml4#wBk4KR2^sjeWcnMwb@@7y(8y&X+Mem}~;_q`$A z&55rj{fkAybLT`ZDsZImJ@!OWq)rTvdX+DntRu?*n6m#*qE6iG9~%`awV;^U@%ud4 zY4g8w1=hnDyZ;AJO9KQH000080P=-PvuCMmB?Iz>OS9F^C=&zng-f#H@CyU-g-f&Z z?Qbsw@`X#cWgP*a5DxN%OIe=*FO0J}000OFmlG}lVFSPHwYPUJ0Z|EmFyMp-$fn$3 zAl}-tdPKM@H8-kia(bcB&9xK2Qj9@sAitr8SN3VqTVzEcNMYr9qk#=;a0HSCMhJw; z%RoCrNdvMXCU@5oJyDs~Mcm!!YQn{AWv1&{IA7o&`bG#(Ii@lEpar!dLNz3EPiZAr5vEvy$W{ zu@6-eFUSNHWgY;QfZ+>I1PhKW5r6Z8#d=4-=MfocF>K*p&l(ZXKqbpZnzGKh94tes6Mr^m2%yp8of5UfmONtz4BlKdM1zr7a=AYaEF{@ma;na z_=502J?4vRPI-m6igbxHymdmCYc)q@1N&Y=;c?KF(MI%H@(=*wknaFNL=XY@N@fYJ zV~X+b+qvg|%xxoD?s~DqA{Qj8MX3Yo?z3qWw`wmSG}2X)6)m zLT5@E2peq6=JmiizoS$TI+~odP+TqsO`G^a#P^sX7uau^dX{CNQ!FxIzPgg|r-DZ#2et%E zpTxy~TL8iZux7%ucZGB^Gxr_SMMSnF{1~RmjKcO?FUmOP`_^Tgxv@0LoyVgWd8F`% z^7)&Xg%)-|!l6SSXMtl(*m5~>S;B_II`pC*H4lN86MN1;&ihNzY05 z#+Vn#TP$?ULnJqh+tZ;AgV6MHdLprPVtz1xS^WZfH?uF_A0D8!P8bN5JqERa!{Y>l zAtSL_lXQ^wMLGxRitesxCwF?A*U%9iXl;8y#E@UpW21=7etDveCbV%?evUh#5=>-@ zz@7{j10s{cqB@K<3&a+4<)HC|#&yvc*nvDxQbc!x)iS|pB3)k-Zy@Ou>+5=)h6j>= z4Qjck$NJK>PERB|*z~yzQ$*y<7Y&l3P}xR%?$(u=tf0hgvV z41>h7_4Gqp%jl+bx(CzLk@Oo;Fuq?m7S%*3;?fkabKEcy?;Kl4c`uhAGQ7dcZ@e&# z@hYXftw5;*4C6bwqz&_`@lZso2|0s*+A(NVeHKja&O94ijrjiXKMyaC|0x^q3~yS> zNR>xHEtNkZ;f(z88dxOfbkW%qoL*q-752qldPTcx=SkuC={WGxlo#AE-z!T!>4vRM zJ%1qg4fJw)VrndDtRw82pD`QHYcCm>fKGvDp`%UYV#AunB~{!_FDEaol&^_@MIjnV zk4N9EDG4=Wdbk5Bd}LhY5|CJ=TIonB-j5WtZBy1LWk6tkDUtkONM?N1_2Mt02nP#R zSh_^q4c8S=NH9;SM3uUdDKDbS#8FHEwepFd>Y9aG(%Alq+fi~0&cMC)7@_+ePh+$qW~>jXf7JdjpSu{3;P!-e#zU z(DK`!5E(1qViDpJM6O>9Qo7wYY+;zCAWy{6qkRfS%c8=3845ibpexd&dL$#C*_FH< zk>`=O1R9hp`oe<;u0yDE3`n@q!ND|t=c|(_3ZtBNLVLp85Ugjc<1-KaJX`LAX-EsY z7SoX5_QNzB4b2HbvkTsT(eIty*302?WFQWo>|{42=DGmN#B(R|M$#ZEM?Z+UAM;1V z+%pne=kAWlOzBP(-!XeX*Yaj<0p&j7?3TGbW!O7nklf!0TK2&H@s4XP-j5&l!}u3? zvuf6u5-(xFc`}q;7KTQJ*?tQoC?81rLjdeBcUVAQ)hG1Dx z)eE-XgllY)sFiPlA<6@UBEd)AGS*j&sd!c3gbVzKo&_@`xMgN9Biux$16V^WfN#iy z%xYQG0swC{4tfaP=#1;vV8gWFH)&DX5nJdluvqs2(yW-UujecTV~Z&B&+5oDp^CAEp@J+zh( zE!OQ;+D}1aNy4xT=tTEQeB7`}*z9p{0Nc&?x`Q?vr-*Czajtpkk~v>^B2$-(LIERx zxb!Tm-ZIw}AuhAg?9hWS1}`+-^7*P0>*mWu*S9P6A{Tj+G4!bn-q=&0&2*jbt;0OJ z&)j`+9^KR|7w9>i1!2TD`>8vTj*i2ZU_4Xj(0U@$9HA#UDW)6(CwmYdxa8DpQnV^l zeSM0RXyxXzNHHF)?%g+(B*hE_CJ9X%n+2S5ytbVfR$e8LkvHSgKlW3O>%syzjH#DM zLjgAdn3sS<0T_R!k8c{WA1P8XTa60uGvL11^B%oNfg^HwDA^LH;Fzp~m(K+LU`X>C zgJ{Oa;ccs^1P+DK8>f_uzeFrrsRg3*+o5l1sZrqq*)Sb-nr;a9ng85Ql$IiR)_ypFE^kpI@KojSa&m zQmnPX)~g2GSA@syn)ojFO_`9I9;oTODrIGofPLuu;cZN&Y!v#UVW}7z(?zG2>RPYc zdkQU3ww~1FCFU87eeeL1f>XJVs&e#H#d4_8k5bPxVBomb8I8q7MmeaxNU13u9;S^& z$3kp4o^k_RnYfpkL;*+~&G5YV^y|sw?9*Ad$*N+S!w(0h46 zwAXMDWeXYvmsdprAAfo*r@W#m6*E<(FbX@8nzmvLGV8lEq*F?5HO;v7v7X~9N47BQ z6nnzEspP!EKO(*e6RtD8MwtaLVPz)Z*!~R^RVq3!>e|BUgbgU2yM{W6cXScjVpS@H zrzVKBhN^d{25H?39fa93atd$t1rW(KI#yXqSVb2qi0Dl0Jlq0v24YA`C!_@FAa;1B)CS%b#I#%hZItUCL z?u#t%(o4y4(c1Ze*W~M7NpB~h@+*oYd7V8EuyN%86R$s2!>6`+n+*Qv1kq(SvBTQS-6{FXf4qJAX26%h8rfu0F~zG1AN}_KA+U z6LClMW>X8x+{i4+t2Yh7X|S78MaB?f{Eu`NmY6ZGQgvrLS<>7hW1~y;L`GO`xK=3;U$a_YIX>;bxMPxBIsw!x(;IK65 zJvLDa{eQH&xPb+Sz6n5-{j>q(1mQV$X<&A|z>eU+pqV2w`&3R~?EM3s#V%zgeDlU8 zC5P~n8dnI5U=aCxhWS+>{xT_`yMa)v3b3lUrW)BstAFl~*C=GpWa>Da_gu6&&-n9Y zJ8N?Ot!salvHpj!Xp{Tea$X`+FV6VzT8vY~K7YvW73ne=w?)#!25eY;dykadEPmgnS1J!=rA1cr@X32T7uj zsqNejF;&H;$lt8-biFY16TWtguaCI9+xkQgR$g|7|9E1^r`yK;R+STRz`3wnS-7om z@v=@8&e=(=|`4~akVh5_}4Le}t8WkrVSu2EkTTgVzu_2sMlin}`X!wQB< zrlnnAHq&TXTd98Bjaw-eYI!+8-ZkrADSce7%xzX;i@oA?RY_Ppnhm{ zNcG6-1t?T0ahgWs~7TrMdm4Sc{k zkyenc0TXORohC7wt|Fm}%^^+;)Ef$4DKE#g6#*AvK=jM>1y9Tu5Z?(0A=TX6X53u0 zryk-EK4(!o6kR@4hd$pUoG@Oe1|$9+>tbYOa_#;`RwBgyO!Tli7dLX-X@6gJE=S(8 z&Q)St{V;L^pe3xeLEox%v~4z^4A#+&?Tx1CFbn-*5LeGw%=~R;0n(MdjO){A^rZSa5Ab(;AeQqsY%WKATCx9_F>pF<%0BD!2O%Rb&Zef$ zbBKAyS(5WC3e%<8drT!$=Q8QY!;kFj@Z|E~^_%YuCw~V=aUW8Zp9O`#{T1vbqH-8n zI5;iDtnEmvkm?xI7?xqky7&S+pOm&hE8wDWK}#Ty_>8T(l#Ab($q1Z1s~4gDTy zUJT{+$ZZeb7~?(|9G6Hv0EO=2hJ{Bc1DyQVf-i4(w{cw)roKm{Qn3{V`lK~&8V$xQ z4`}PUCJ~KEFQpX`8>IoZK1i_?IqrQ&DJ0KDK`g^zW;F=JLS<1FamI-!+5}}g@3aw@ zSmgNR?C9e6^Q)8N$$!V=&$aaP+z17oU?9z#zWMH(oR+fIyNTUVDj8lWP-)N#HD%5{ zbx;mwzRB;GQYme@!twHZ~WtRJZ`y z&GQ##uz;HDJe2P1Z+DHq)n)7ws+35LO{~&-nf}@5o$>kI@N&d|u`#7M!b_n;oUuo6 zQ#bN!u5MN~_WI<3e|b{8Jl8@ApZpZZCm&9KJ-IkJ?tgfmpASzz6enpVc_=^4(dQ3q z({!$cnY0=u^)k=ow|hvXQJ4nq0hq_jgTxa((&PoMzTkq{0ys4YzS`La^80>bQ{|J@ z3;8o!j<$C2I}e~|+bnnu*H_#d4U5bY-$Rdh^Sa+_BlVP7+ET%9i9lJcZ;Z>$@JD$k z04rvRyMK^zOkG0NhO^?|SRsTDCKs%#2KRdA#dh_#l`Z!|I=FggXw7l7IMDu~s0jH< z#Ki5!SPSS0C96z%8O%cq9%FVb+3g=_)IBrTb1}_M38t%jl?OH(dIYtZ9Y2f*wwVWJ z%xGtIodr}J&(i2wG}z+q?hZ@v;O_1o+?@a$2pU4run;V`yKC^^?gV#tm%RPwzI(s- z-E-cY^V{j}*{ZJInccJ9GgTG2F*@m*vws(YkOHGb*Kl4eSD1I0Q-e!d3zpiVJPG;o zP13rZ(kS7)k9%+&b|j&OtN4c!z8}De6=vwxxe8akKhE=X*X;5qh4H>||B6Q(KHXA6 zl_0)}c*m|YtWaJw{=%=?9iX<>Rb@%od^pT2aoBCUNe-uxRN7fD zMj_-(EHm9$xk5!OGvG0RPe80WrR|TW2?vSAbYxHHf@x_NZMl>>0wz^bSVq?ge;Yt0T74f1c(bQ ziW~oU5d85BTlgJ-LWTaP5h?ksrE~vX4}5o;0_8I{S40g?`vl*hrEJ_p)5;g_aO5zT z*T)iUU||V8p?ig%cjZg>!{x{9>dIRGo&X#5Y+lGC{xSom6+ikuoEQbY+3U$DVyBr! zNQRW7Ac8tZCldgS((mV0D5`ZRya=x`fwv-zL;qz+%(!YFHF+zR>wM269`TE`C!7Kr z`$(LsVZE8WW2Otpdw#&_H(QHjuwBD3H@g$>#$1ahp_!f2NVROo zUJh6}iCS9?Mm}u5+vUtU1jF+ScJUx0$xR6eI&XK|^6dkY6Z2)j7nT%84pdyiV$_Co z%Srd-Z!kK%SO}3{0?mruLkUo&+SdgP+5ODIlAhWJQxfY}FQyqU;X`Z7zK)1}g!{ra z^E|6^L9U0yneO&f4 zgX!uy*w6XM1+lJ{$b{#2;>qtU7%nB3mVvZ!VuWu4y}?h#!>w{fFYD86f`Ent2V;`! zW_$W?s3OS?i1TDu?#e|R&1zx|7p+%9;d{8TXS;*o?mvmod%^gYByH!H11h}?1q9?? z5z=xe?FA%lCr4-{7v}ik0Tb$WrB{l!OocLCb>z>JDOk1Gnp$e7&%!6^Y$Xw|L8!!~3IKFy~&1 zvdU>_Km*Z0_B(Ped>`Q}#J^-4-`qNyaFIo?B8SzW%9aAQ;5tUmN|xGl_mKFyZ)eA@ zpsKx9xE1qFxPw-$88bTFkA**(+*u=v0n%8)`kQcEAMtw88*b9tGT993w%mS@j1}+~ zV}Mog-u(h;Q`HgJDM3=de-i<*q}L@Tu`dP-yq{11nDA?Bp)|`6NFf$?+gLcelAlT7 z8u><1z>7m2e14*ciQ9$d`FXnYn+eV6&?366j$GM$g1Y2oxlbnQgUz_%iopCOQEV`_ zR;EBVyihO?+U!9^Y!883;VjaL| zgL#(*eq6azSS_a2337ZW58hCP#lh?{tqEIW?2!RWvObS&zgMc^Vh=4jW;|-bAgWD};m7uXazZ`!}VyG#_j|aL=T+mbG zLq{a0_4_ZjyX5q@6ih2Tqx}Py-v?&8SQ(FxDhf%L2}(jWTk*f67!RbG+2eU&WmTn8 zxb0eO#)F3;!kx4CEO?~3DcXrUMYsif_Gug_(ZkwTXY2~y`k{UiH{CRsTVv;BM-vg% zN}DvC@eyJ%?}b4IHtH+O)q(vk(x|?@e2P2mlXL;co({XueeMn|*mb)3lZ`_z&?TH<8mR6WUgJ(ZsA1+EeR+Y zG!9AdxZT;-HdKs~$O4EnWGEsi*Dc+$0ZtgfVeSeUO(z$^d?~cSMc+ifn%K;XCkvsOts!g#^ z8LA^`Q3%O3r;kl^@F-&A_gjErBtz=4MF<9>->b9FBi^XsEvA?;x$BF2=4B;7O0JP9 zjj>BV=N7eW!Et1oB|1)8%7`9+`z<~m3r+yd6b@ni1YTz4|B#a(iS%S%5FIX8u3ISW zM6rg%fu!e`QcU6SkBr64-G8PyzE!H`)*y*A;@b||bo*hHoMbR8Ai!Sk`1BOp(^MYs zbg$|&lxQx*x>4&d9y%5O*tPsrP`;+1%VWTINtswVeCuMiTbQf>!RaO?C(B4T1uKt< zW|YsO+IodRmtYK3mQB(&+9m?jey1OJqO^R@ZDIfEWj5nO7ta6UY%(41b7(A;5=P*< z^2i#S+rpO0Eoy!G0*V~5->%nrzitU5HOtkj)3mZ|YZeiNNq z(&}(>OEb7exzvhMh)0k&*Su*S2R2R}YP{xz-ZNQtRo*Ys^Xf!nXy_lh%r$JuLaqvy znoQW&ln_C$?GSD;MQ)GUsT4u`6TM?ztumbIu@`-8!ZqaFt6>j##JuLnS!`i8Dq{b^ z6eDVa!-)a8^xGdw0=UUfmdkd_?egP3y39Tx^Z1$rOj){IUNq*RlGjPWM z^%OpNrZ9)=on2C3I5ShcpIy|vDoF4YrmU!+vbH3XJm+iJUrii%Heyj-9b}Y3_wDez_SNP5Q!+1! zTA{q-+qhfQ(48Uvx|$eXWUq5btR8>_T*Mt+A#zV;u;_?YATBW)I2cX$dB3QaN#7c! z7~R7Mvq3K!*-sObV@EN{B=f)3vrZjt-7sobTZM3l+F!Hy8 z(lQ(Re6pD2|rK^KZgOa~of| z32p|u1RY*GbPODOKBG`Oq-m2C-5Ht;ztFvqugC0$2} z-;L($&4)WWCyBtj#IaWhc)3ybjRW8MSP#Q%$}?qms{|MFtUJJ|#8ySTQ|yMvg$HZw zx%Q6woC@JvYLO$j3(sDEA7sU^rXzqSDpoR?LJ}MiM0IOcP}HuRSzWgYkf;!S<*M+ zc%@=5*0k#R`HrtZy~#EOUh8`caYediU z_UW*#nb`_XbIHPtRuFMRfIfH??i@dJe86(qHpF8|Dd-ssKV@rJ>UYsCwuAntbEX4F z!?7;r&v!~4I~^|Z5r8oPuYrdsQSjmrNxL+cAb&N}pnX)Cz5ULD&K2*u7usP0l7G&7 z9rkHMwa}l$i}Q9N(ry61@^e8dG~C|_^(uSYUe3Hl>b3_D(Nj2%IhkO*(EGOu^Dp$+ z&p5pi-&YV7WeyntlA|e_yb1-ZC)H0q;TR?U$H^6&Lknl^wXa#-Wy?~tm_Z5hue}zp zBPwmZdwxyd#}y0s$VuE9pCC`*=%oqze5dH;{C9rXwwsEZDvZ(6;(SE0&ROcV1%RN(fk$;_?!NsOYoe60#*K#pk=DJ z_^A#31JeV$rZx=bXka#o(?kxm=iZB=^dW4P@G{u&Ky_80!?fs9pvYyW52bpJCx!zK zCx{aF5p2;K^@BCD`dY*V8++gQcGxQ!uhjY81?>GU01LbSsa4m5S=)~tH%kpCSw$&j zqBx9No|#8K7e)`4yq*q`X_u!*Q{aW*L<<5FhJB@8)T0Sh_ZtRjkr}v(WV}|In#GrH zwwD|@-rVXbiA-Yvg^|Rs#=$Kgt{$KGcQiVENy<|MiZ-oL|8-&UoN>5cMK0iuMEk)|X5v36o1-c!r5GP`+|7oRNOxYj%ppEG(Nz3}zXpRl*swl+KPlGeW{H(Z*4ERyo~AB8O`KZDlD;!YJN>m@*%Czb`U z!rfxo;|Df*>W!=qGZ9N{qskY8yU4UQd`BX|Q>2s^V8thYpe zScg_z&bQ^md{QTc2CH(`JMKx^JMv^c z9m`pI#(Bx5i+NxWxXff4wlGX7cV!pIdc7~R{+Ys*wjbK@Boz?u|9wk~yTnbvgc>?XubIgH?=^ zoG6^$jH;la3ubx470)d#GEJsSVZmVuWfE|c&Zn&yTpVs#GSoU@D+9x|5h7|DeEVrd zHp4T!9huXahs`AsBz(T9TA*N=lCG6O3tyL5fV%&Q8bMZ%)mBnhcWF}2m{3iW6A9bf z@qp8zdyde_KrS06-&^4zCx=~GnkQ=Mm3c)uWYN!YzfH)^MDiyckC__rqthz4-_%4F z@AU^>@b#}BhR-_TvxW!wA>^UX;^4h+sD5q@k}ebU@yz)Ar11v3bG~iV+Sh}_!&*bx z3f(fBN&N$ZQ<>HoFq-2vr%OB)=&BVI`yr>|m*3c`3aer!D(RmXFtBFtTn$ZgQ^4-M zYdqh9{d9%?_6Up4NR%Pht&3wq-vX0A*vUC`( zN$7p1;m6FwHT3JlrK~6svtg;;Lkm{0GFqVd`%>83j38_XbLg!E8fQb^9JPKqkli~I zjNM>j4ovLzG~6b_a76@5OJ{1kg-yX~S{`|{SzCS?3ZTZ(+d-CX{?zno!?CTYLGYcr z*}UDIK1jKG6E~-8pro0PD6)9<`{x)AAlFi(lNvO2>@m6FHLh!MzcCg(WXdv$40Oiz-YwjHN^iMUPJ6yv$1h%5nr4%|O) z+IPiyWBg&v*|*we!O~0R^ckB#eIGGPJ3{2m=QfpOdxzkaZ(px_FEZbn#FDBK3{B%& z>kKXHpsvVJaq>-<<3`SnF0#F6tF@s3p#CmMUJG<~J9#(Q+GowIvFv=YMxLUl2o|Gn z^tF?)l>PlYxb%8hb^==2{Oas|wGAE)y0jL1sL2XgBzvq0GYWv#WVguOQ;KcrsZ;w8TIwRVk*UVH7e;a9U%ZdJ3VU+2`-}nTg zo*VMtA{gs>wNo@)1adseROP9$NmQ&lBX!+i3nTnlBH}f~jO#R&HB`Ao!D4Oxf36M| zF9!RzE!pHPfog9PgSEZ|yzI7;z9!-ATSs$Bdx7o8d-7S+^2UvLpC@?Xlw8VLOh|%e z&=^~q3C>~lAc;rm)lb7R--eH5ml?ClyggWz4jX1ww4NfelWxau?cWe;Q{y5+Z1WEJ zJL5YnQ(!Zc3Nlq!@$Ts?!I(*C!~1;N%DQkD0w09|JcQqD4#$M23O7}x%4gvfvpFC$ zKVK2hodl+IMH*uDbi}$%qt;cNO9m6@JgV>kzdjKj9q&N!ukzdu)Q_X$2r_7xt9O3M zm=W6&=~^6p`%=`>P$zmG=y+#aJo?M>Nn?p(e%8Ks@Zs$XGOyn4z|VnL8kff{oG}$4B$pY;@EBtuOm}XImH5)M!We1gxLB ziF{8_Dpr8qu;bMDJZQ&Sr3XlO(QU0nDyO9L3JsQ&E7?xMjTZv=Ub6Bus7>9bVXFfV z2L`Qy!5%27pK3J7_!7bw>s(32e^80Ps|;fW=3sOp)5fq3;EUog34%3126~qgtN(X6hj0_3+=>CkjZZ?= z;@0ZB-VEN)?P)<9l%rkrZA8Y)Qn(k09$JmaXuj?wGNx7I1%0qJ$`>jLJhGJ)?b}7f zNV{oP{UzD54G^#%F8QPhB?pl|OcULrIx!YIW);`z0k7p1jiA~=7`ru@s4c+Gst%y=~vw<02!qOau z`9Q24Nc8|_$WONAdy4mcb_)-rYIr!wjMn|W9Cwnh6nA6+m45=BE(JYxn7%qLm`1e3WW>`ITQ*glu)RkP(z`C zLJNfs3Oy7CD2z~;pfE#Wfx-%f4GKFH4k(;ZxS()D;b|OM;Z-*LJ3dkYJ`CKyC3a=L zRrJ3j#W3V+#4rREiT{l%Z5Mh;$c_mE!x;esgADbYbvF0@m(%R1v7yIS10K|A)~uc< z<2Km4MtO?iR-Iq>cF@nz(IC_TT z>&gzpX!>$p^R?ZEnY%v`Bg2KgjgdSKsAj8b#3k#w9}KLBP%+_;QNE&S1o0zLwE= zV9oc>&HSIXZM(S(i;w}{gY~m#z1tSo+)_L`b=}nqW*a}HRvzxy;$H+NSquWom&b=1 zOy`G+9^i-BbXmif56FJEas9CmpnM8J0SqDI(F^;V(GHT38KX|i=}?uC5};>PBev&7 zc27cNhfxv6TE;|rn6yz@`-kr?O}>`}0?1iySn?>?rpDMuL6BQ?jFrGzYJuUA6L47O zFYe0Fhhpzx#4V9K5#KW(b1L|NAhBV26RQkoQ}9i^*fj~K@~w+R_g4zH?+@JpXjvTi zTKnS>C5Jfv7)AC$V>HNW;xBQu_`w1<(O+cb07>MGY$zUwA|aP~w1g>XdU0`&wkfHq z2?MeFJg7`)uM>>zM9d;;{`?Al3P38qf^*h=!BK%PL8jjA!N;%cW3$#y-p^DHCR4&l zvS2t6y*n|RTr$b?b1 zieCc|k(!aeU)&REnISVF{7eX4Cg#tw)(=MH=F$z(Phn~Qnc($!My&3_Sm_e&!rs8? z5XVtnh#lO#Ss22BpzqVdQysSWNlTtD{SZv;QpUct(YR+$LHQtyEF?Th(L zi^C##mVl^?doDoQTqn#8D>wsRAXaRqnqyKRpF>Ki?YI@sHH_0KT{d%bJtED$At27>qlLeCEw93hs4G!@ImYeA~Ghr%f$Gmfp$Un#Qxl zl5a{;+2?|ir{dT;3p_nV27ADZha=)Y{ zD4oDbB4@x4dl=PrfO_qgQC;Ecz#+muOQxVtG!F^HlKsA#Iz@3N4{zT68A07pZq!#v zyLVycWFj`96^$en#f z6FO3p8OM4U4>MuZOH%Z2)dAw^E<-I}&EoU^ALpm)lL^^c$UK9T?en>Cb*>u|CTa9U z+qeF%1qy)@Jr6lQGNiNy@&$x^m%Bgd_blh!vX2Q>Y2B7N*=T_qV)+(C-YKeBaDI-x z&kb9aRA%zk3gt#lO++h6l#U-@S7*1hHO|&daA+HAt*;bXL|g3P3L#FTbN|Srlu^3M zwAV{+)((Fz)hH^D4b0MQp<`Xty7|Mi;xA%2&7J*y#0Kl#p>>h@qH}7<*hZHEd@rFo zTlX4saI0BOgwYOo#9cfAt-a)=`@PIULA`~i_A*bCJ;}jJN^%xT_y^0J*YDvh2~}wG zE6~j%q;yk;p=8P3J^jz$#q*=*q7L{>Duivr!@_?5hup5L-_&J3K25 zonk#N)n~nmw5*h za~`lpGakTUJb=U>Gl`>o*yv8o=f?@#{L|O1Qlt@gAYHj2 zasV1+Jr~5np5oGEQkGk{^odm7oF)2dw!>yeeRdN~<Q3D-fopO@9A9>u z;K-+VbtAeniB<+W*`);`eiNT*BHDu$P1kq1ZffJ`r8t4~AT??id#S<_3*w0>m}_yK z0V?ab0X-7~zhaGei=o@BKMzw%KfB(u>(%vsw;wNVb0~j;+dEU~w_&MZyx*WHidW)X{JaNTaCA0fKb$4M3N5#`&L-uz~H*Nv-cj~SD(dCP+`uhku(>chG zh5!J(Y1*L1n>qV-!@;`548l>nc((|u?6+K6TfN@eMG$bs&iM2y_(PWk^Ia@$cloRX z9W)9F-Inb2=9FDSg~MGC!G%4+H;N^Rz~zZqz%~&Iqd0z&j0d*p;0b(^Z=->`#@p1= zYCo!JkkQ9u2yC;$+RzsBo!toBQA~tMv#!TTkNxk5mF*yZu40{a6GQK(oZs8pZPAm* zSQcZ)7oi)kUY)Bi;Fq4QKrrU@2TMZj(!DR;w!UJdB40y}HXNtY(ATf#5ym1}?Z{Ig z^n%8%ca1-VXyVI#v1G;LCqD**t*D0KQZ@o656HCy@^qaqkm72`Edww-WVhqK3Qpi_ zAuTZ*AZfe&@HxhQ6uo?$kV_3oKP4P@uqAl;HORr)xE-5J0alHAxLUvRyf=L}i>}+W z&i9yw$P?z|MY@Ib>Ccyl#Bn-=6`wu}5I`6BdPRRflNQuM@*A8Uc<6a2Z_I_wOM7on z__)}TX1k6^s|@G0{gcY|mz6rq@n@@2l}5)F$#I04@3e*S1!`xlTfy0QAu_%5-{mCN zzec^XBfyOJ;DHeX-jKF(N8D1Gz1cNv25HxmZCNrEY*Zgih&K1np*P~Eq6n)gePU?Q z#1fI_G-b*xk)R@6horKJx_~fmx^Z< z78bO?!3hYidpOM6dN8uSmjSRENE}012j?4;3+A7Ev658U55@oF7rs{$W z#S2Y=zB@8s?3%+cb~+qBwvxx4&$g&US;bjV+QV?es`D9&D}SU*Fi;KBK1nYUgeFs% z$82E;J8}gFuih^bwMj1VOpdqu4{@vWd0Ou`z?K*W?ZLwlHNn7V#8Fq?0USYe%UGK;tEcv-_e=g!vo{HVz z^lMGsC-oXZ75Vgb?8d=ow&R%pNy79a?dtR#b*1 zc2>h7ud*78rbWTOhmaix+K^JN6eU(6jOm%x8*p=y@$ah{MBMYyqpY3^T)#c+wXX*Y zHOlS}plVW6cgT0X945D_Gn$%L3p!5>J5_M*F215yh4+(HiuD!f4)zDc>V6vkdXM(y z`p$^LVooc|a-`{XXzx>Qqerk}a&oJFl?W}y!T4p_N#F?CMe5P}mwwY`V(qiWC(-zr zJj>{%++Mhfn~qP7eBKM`=3b5%s1J1DW8UEr^2Ec8Pf>oVGr}aZLJT;~Z?p?(q$>oz zbyQ8WrP*Z74~=WBnJ=Ci=@1go`WH~!*wR{0cTG?1Q*|IB$)`RZ0Po7KW;V@CWPsea zVj>*&)Qyf-PFh?{tzWZH^E7s|&8FG>Wgw3qvQIJ%fwRjjDqq=?7g#^hx@ByFdEbre z9c3;G9deL!ieZ3px{w2{;A#bR8p5%t6eEq6lMHNz9NmsE%H_XGsmuQmR&J2pPbVz6 z9ruJR2Q_>6n{9_A*ph4us~L_dM|mg!eU{TEE?v6}zv9(#&C3qaRv^>U=@gDOJ9im< zDWJIEFokQduX{#w+}G0SEskyh&xf;NBa{VYF3y_%eD&gF2-rvIB30{^k8P@VK8npA zGpEsWc2hI{MY_vs5ppPogq^~%=O^uV+8yOs_w;?AVIir`K#s49J>(-DD@KUQeBF}j z`gc;!(^5hmw%U`S-&a#yKjob&?^P|ee;x`fCN)(vhk2!I*K>*19>&(BgP&Ea+dlhn zosNpG0MA?y6U}B0mfDj?n{(6(o_Aj=L|jsMwadpFK4;!w53+aZCIOiw%t_WwnUh@h z29p(CGvCLpKu7d8RAnDk>qhv*Q~3yOJ@`hX7y6qTI^rE%1K;YSaprwry1_g;Yb}vk z?dK)1H`(wsJ4=Us`bwb&!7K-bA^+pS{x_KuB(ogE`F9rn-?>tt6Y;-WUT`bIe=?`2 zDFR?|;r=JVikEAqyvGL^n8vhm+1;D^i z!eha}VEkJWy3Y$vhL}`<$SM9>`CnnR{{6nZ;2=m^1&HjQ^#n+31&9Q|1evb@G5oCq zDxq}t!UsKttpr)2U z#BLG6_1i*!SuL7a{l`V$KB0g3EV7xpa z@l_zazr8XN^>)QU1CYI^gMmT&UkY3yuT>xcIObyjq-qbI5K?grK!oU3gP7r@_Tc|% zB8TKcYXAnwST#rnj)xfylEsWh2;r!KHnKqsYCtlub7YX58ju7)1+r5El7hqHC4{)u zf^Z;lyo3n<=t6_2)q==j5AYzawIE4AGNi5+Bn1FM9%?~KaN5rdkgjJ2LWo%%v{TPm z7RY)m3n`=+S_9ZXX6m2{vk}=K=tyh?5UE-aCPbH)9rHh9$P0etftb{TB;h(+I3cX9 zoJ5fJdJr@0ZwtslJ&1+!pHJ`4vderdIt(p^!kS>m4bC&1>r7&M-IY-=0PSvHDa` z5pvI+RKzaO{=z)K2Nfp`u*e)@+8h>wE5!;LYC(}l3LK}!wq6j9c##-`gFaXCJM`if5FU(QWPIdBC7}~$aizq=iw}ykG(di^K z0tx*R&HCOn^+iwD$)-a0ISEZi)^6P`y3xhW4>q0cXG8Av=oj?Yt0vKZ`+=GaGt7dt zOz5-A;tfE(RxgXYzl@QiV&f<7>gwjPv$zAaBn|%}^j^~il_Yi6K0pxA2>_{)_xDXk zr3T&(V-bnxzQe@gtvETY+jk6(#?xXW-EVxXd8!be0qSEEWfQ1g$3=|39Qhp z@T^d*aI7#cHWbBcV+pMAt&ps+{(s;8qoDW*9=v<^{}&+M3-_l0$$|3tUjX=j5o8ye zdRGk7?A=uFBf8F69p>^TZ>>)WX8 zt_tdpi)Q$!o8~QWMNXc!;l9hwxpqKZyOzoC^A;*XyDR<#5ziTDB4fM4(>A0zeJd5d)h93Q zQyly|QGZa6!*Loqj>XyaJXE5XZu7uU}*XKK|{;n%*9=EY>I!5zpVvW9)%|wFK^e6Oj;5p^BNrW zZ@8Y3#LJNR!(#gxzyzuW2y7Izjdui73doqa?58LpsJ&+nhnEK*Hwv;gCJc;P4cD2m z2P|PULWvMDiU`RdDGT89YM}$cQc?#2yO3KddB?TfvM$v?YpR zj6icj6k`=u5cn`qlwl4k0zdL6=CrXR83_q4*MCJ++++xqzg^E?gZ%wbh)WxWPQagDp_zV^ zn;_3ERnqLy|utWQ1Y z+FwqX?LUZ=ZxFI>nUL|ZkVelu^stCqr<=**lV%)UB3S;|j7y(xRYF}VXTNoY#}M0O+PnvgF&p zqPy<{3nw#XYR6Q9W2)g#9ki9heDtwsTLNX;=H3J<;rKAg4Ee~A&jxyAni*@Zj?9ZTtl~!56%qO&P}%-xrq%0JCx26@1&EH zC2v4~WUFn~>Dt?&IKK~30U0IqwcY!u@TZ!cE+0lmB_WH29L*x$B7Bt-9SlkiqM+lD z@kcvW6zwX0wTTT=-jTS0qG9dw;pm3;auq42_rjyv3A5fdSO}KST!^3AGsG61K@#Lo z=!sqF6sErCMb&mzssUFi<3>Hv>;=kWNy=k07>$~2_G<5F9rQ-=0DAIBOLZy|rltNJ zD$_7tEou_41)?r4gk>peZ|!wE7lviya$y(G1g^h6Vi?WHlXBH5e#*4P>xFJB$Lpn} zZ06stnL`0*^vGnpA79bdM!s6Ktx-)2-m5?nAd>c^R9i-VjLrU@PnU~{&sz?b9l9m+ z;J4?Fu8*K%n_~!2fDAAq^~rv3<>VOb&N+nbSkEwCF;rP}?FO|q%bu4Cxq^X<1IcwG z`3-+FY1+*jwLy9#anL0?k>elS6s@vSavs`Cjzr1~KZYa--#sh?mNNwQPyIFP2b&Cx z#-AHfIZ&-z3qEo^yZO+b^~e(16`0d#@kscapNiQzokKu zv4|#KZ`n-Zk`9|Ytl&x3k9}ZS2tV}T&V$^pwx*KVh8}GNj5PpLP(5eR~RP)+6{7H#hMh%?@#ztpz=)-hOGU}>*;~H zKTJ>69%m7swF!kOEy-mH?xMvO7K_j-lc5tWqPnp;_cMqg7~UG&+;P!mI9=fV4#Bm- zEWC2|<8}ID;)cFZx7r>z$UQ7GXX`}>g>b5pzR_Gpu%72R5u<>bH%$k^QA7#XJtE6# zD;La&Q;blrzFfpY)6G2VC+xT?>^S)ANCNQBEsb>_E83%jsD=hPK38)dP;k9{p-QV4{Fen@yzrx^W&T7Bn(H-Rem*djkYPl$W^o(FVc*cF`WlLdQcgSS<3U z{j3(LVnxA7iiQ9>Ic~RFOg-~UdCBHUT_q#&xOnoh$QVIRSnv7B@f&{cY!(L*14h5` z_ZZ2a`3;Hb^)`}djQje9%}IrXh>Ko?!&~Wps7eYmV%Yct7;S^Mn>UV|A;w#yT;oy- znjsnUA-fOna2JEK+=+@xD2Zkg=)g?>J28Mlz1$!p;`TS1eG{2i7T&0vnu5>;qUd4u z#asz+KDn@9vJig%BDPw8vSJ@iOnTjaq@zZL5e=OLp09$XikNhb8Yf3yxl zMM`Pw4{r`dGb8^PJMg58ev;Yyk0))=O%ZzY*0VF;%yG%xr6I1HzKCTS$ntUfEVj0% zgzcdeZQ&at_q);A!C-=UtRHeF-C^7tT3QA9UIIY2j-C{EKHR)tAid^Iy0XP&tGD=v zH;uTFn=OsjK1Qnxze6`!Jh`{RO+HTB!(U?Z?Y8n4XabwP+3a8qe(LIe|3Qo9kAg3#zMt&9 z*Y9uiub?Sp$?dq46hn(lq!|b@1X$corBi)+N-K@Xm6Czk`MW9*ToZDYuyx)d{ zo8UJ7iD{U$d^#yLMbzRzu=cV|piLy4NM$}32pCuI)nO3fOq9Dq%K?=BL#VCkW;8OU z1r282EWCA61ina=3$)5jZ13DXAtdfp11g*$ES}u#5U7K&HhrZNtfb%N$p;18G%~+o z$7?Lk_tJ6nX;l{WZ~EWSDUB;pf|;i#!I22MNT#@i1OL@}!h&Ms^X7{YpdsM(L78Se zZ=W%S73qpF8obkf$^cBPp{x;wkar4WP8E;QwQHF3HW{3fLK@XdUtV+{Lb9q`v6e}+ zQ^=KU{9vEdo^QpDISmMjxJ=1*mzG{+hUQtpA;znYR^2|gQo(HcS`D!@>cHVXH5k+7 zfD4*Lq(pQ8%Mn3Uy@>Zw(>P=gclkNLR>AHDN*1EZnQmeCQ$*g+8?vSasYk%mJLNNZKdeWRXl z^_@_j1Mh=jF+e5srUHCQomJgKn}2N?Kib9fV`8bI-q5D|h$F0zY=ZyjY?F=S@jz+d zuHSP+TSSu)Vgr1{uDqaGZ(Yl%kEk@@PEc8v+~Po&{Oa!if=a?{wT_dG8{>lJqo0A8cxvN8b~RfoM`NC{J6Z<@|)hO6MB|pO*#;?dkY6H)(qTvvTlT-Jd)+}SSvirse6Uo}^7kb%ENr7+)p7re7{2i3RCq+-i$O37f&;6`V zHmU5AbHvr`Jsf!`{+XTpR0~VCqGSb@+y+>9%tcaF8~mjX3P>oXb-52<@R1EPgM3eK zI_{=qAMm%mHOgL4z#lDqdAf2cDXrtw zLA5MDym#elS90A|+9DqmhEi#Y|4o0p(LU2JfotKz$QHOWcKMkOB_8leAO`XCmIuIb zxu_TutVW*6M#!!G>6eKSgQ0EAxx0{gWG!EGq!x#B#H_2eF87FS{6atsg;!Xu!9exv zFuXTAnXf_7a>uJa|L$&HUXoJu8_?8M=yP73BsLcQ*-PAMw@;>g!ET{fu9Y=4lnX70 z(UokCbVT)R)F=V||ldfntYz63MH=SHfFsi5rBCri$^-yXZx?`X%069?3mFIgZ zCkA4!ffl+LsgTJ|GxvyQZDB2a7yYel=upL|=Vh2@Vnf-~04~cA=Wg#qnOHAU^zBzy zj;k+E!r>IoaMUU-Kr_4DnRCwacLmzia0!d_+qt;-)%W1ZOY6CLFhtpCv;$-qhRVt_ zRKZ}TOY>Kea(m^lcqP$s?Qb!*>dw^FJbf$R##b$L2cTrl~^Y2`Yt8F*7jITBgCexiv;N+)975m!=}>999uYNSqT$A@sO8~$YIRm z(^kam(2=z~A@sK;OFYuq(gi4>9658{ zfM^gDh|s=EKH*uw;9|{_&eDJZseKK%ayyz{p-dTB!I1>3BAd1|NdjPq&@Qmq4q=DO zeg*!~1!MH)b@e;NLTCn|b(ZXpQeC$+_K?Z*1%@QaGbW8?U#U%=adF_yXL#UGEF(#+ z1U_P&45sfZxPy%obWhsQbeIhW;LY2g*9C|>ALY#0yz|F$n6_^z^F@l{UKQ_alm^|? zv&_bHX?|iT4t3>WP66nBLu8NFX9-pLSbIy9uVf0RL$jKuFlwrz-{-L8s+-!sFx@gk z^EHjFvE^bSsp~0Jhrck|8U4wRBLQ)pZ-+*E>oxz;GJiBrFx6+-9M+FkLHL=ukv5=W z%CLOk1yjhWvoKa`-=6HoPkn?!QPuy?kMSynA+f`d;v88Ik4VSZ&fwI~&u;>CinGPs;P!W?Je$IopAKC=?lUsfC`4*Z<(_ zwa}084AwuFe2Rl&1Q|jAxTWvk;RtHO{AQ$QyyOI0(6oVl^&;4Ya1SqEwok6z9(@n} z9a>H-kS6xEPeWBeB+6{L~Ntd2F{}Vd}KWbvDs! zEB4wuiwu=4A0C5nd!1BDnCZ8_A4`$u66V(AxO&KK4|A{>FKs+*4D*o{be_YP!0vap z{yK3yYWgr{AGS3XNfvCk8k$eMiPB3!2{`{G-SQBW?9w=nYzU1uF!l0w_k!HJO{Tc& zi)B@#UE>?_Ev&czX^r%o;jTQ*^mGtk(!M~DDr=5{`~IkMnzm_rh?k_hls|&m>9FDk zmnnv!eJ*ZdQ>_qH+fjJmbl`$g^NY-`EQt98n=SoxeRiG@C)dLPda$A9mjal6dD1^I zsd-q}+6NJ>P92zi6`&^%npgOD?M*0h4pYi~%qRut#||VSqGG5BU3^h71JjaCPZGQ-CG7+b_9XE;GfM0<|Mb`{=O1K2nYxp?tLF%LMa{R?L#p`#pb5fb}@$R^6V78 zd=lco@fTA!*M`fxqs2J2pn>Z$xkhHV>rmx}bF!!h^F7tk{haKz>}!hr9Vz;c6`P0O z;6JBu=O?_6tsV(jxexWH&Dh}a;88_E9}CZKNKD7)-s-osL|xLb7ypj!oQ{c&^Y6{| z;Sd>sh4rlWjfP)}quLBgolAJHAD?dVrhJ6(La)V{79r+C^R>j2e)c0Qk!~^#;IS($+`E2>4&`703lXZ+@Koji^QiG4zBXa|9zfGu51-@y0 zH#PraNsT9U_I-(h87cF0kF9{T!(CETEOi8cYv4JSdgOAvrW4}HX%4*7m2C_CT7~G9 zI;(2({kJBhi=wP$I?}H=e!})JX+ecJaMClshUzW1lCwQ5m?PxrNYU^PwRnpp>0^%W z_$OxjUp=AWDRfbsEu>#>T;*DFjETK$@l&oY*gdo9M?P97jWQ3~vPLTuK;4+CKEN8# zsNrmit-9!lCIzXMq-=NG$dfiz=@vE4^1u`?3{~3IL7W3K+kC2r^rm)3IYxgJg65B{ zfbaUW7UH1d(f0b5a)YOle_9!0>bV4eVbnc#5^EPxwMp->*{ZYqZwO60ywZ!I|M5vr zF3q9@YFf;iDB`&ljXh6mJ{)hV>2H(${dhT*%Gj24^EW_aVH0!D8bU+DlFot$X zcFG2)8zV*~QS2^yCL{c`xx%}ofmy=1cG945w*|FADm&F;d@hIwIvZ^+X=wyVZicVL zK4$tmTo!~nt@(gz%j*`;CXuxvoiu6Cji5rN`R zVZ?l`nR_Wp8J&d#-U=kNhV^uAeRnM++I!|`8HSt?nyC5G;i)Fje;qaNG9Xk`%HMrv zGG!!F5nfVSocwP@nDUwO76h{C=W+>^|W^!EZ=kVV-S7i*&Q z49Qf-Iov)Dp`XpHcW*d?^jpm_r}EWPz4jS}ye01-9r1$^p9ahiLr>VD{r}nq#YX6< zNXIO+09k7gCe*G|^)6$O?YD&K)AG3mbY9eo0Q{lBjuO=^u}Yes?~x*Ds;9kUS>|yZ zGl+c@M7=Q)!bEejK4<%)fIDEdK-Cx;eG!epz!~C2m$jDDo2^DEEeU-T!s(}wKSiI1 zHM~=hYL**KtL>dJoddH*7@5kn7)LRePCBO17F~lWhEh2o@5IFK!idBF4$$eE_%vye zR>E^Z9yWN|j`aB`50Tv%DeS=j%+YTW(lqqb(@MW91*90lDQd8lR))dFv6&}v$`;tM zWGQ=9%oB^RvMi`(-q?|E*AM&>_}wLEyKMwo=l|;<92gfs0y8D%6H+EtTSo&-^<=iY zxdyK^0c+hle7n{B)gXJ5?jQ#u9_6{*p3Ppb{qE3ty)Hfs8)h@znyOsH<9T%1t(6=! zxu)3K3_$Td3^K%9$zXCYD6wIyiRd8vV#I%{vA>p3h{@Q3^-MgzUZk_!GuK|Xx4ECU z(NPgQ5sE^0d31M`)U`rnxpx6qLayNR0PD=nm9t82!;=H%2{ZDiY}qu=O-|C4S~~-G z^gdURD7Lx0#pQY(78K319b^3!zUddZ*6R9a&}axV)D-Cg+<^RN2%o%UG{u)7`u8~R zZ32sDHvAe5LYD{|Yxy=!3C89apS?ar=r8xBL8#efu8e*P5&eCuqG3L}yR|C2DLEbdk4i$`XyLeD=8U!WtRcT~twMRjCxuz%d;h zd?AuS-4S|irp7jufY8Yt48BL}5b${zrMKx4WVf*EM@vZ>;!(w1LMi;S2e-vCbdv%n z6wB%|S8AOQM*m61hWa#{lr1~bF_Z$N`_BF-C4@yatxHf!tP&s$ocyGrB)j1XoA?^7 zdC+B+DiP@d#n|b|DKDv`hs6)g)Q;nb(>V`o{XT*`mCW_G&(hbjr|VR&^`+zVs(Zvf z&5&^ZNOhZmoF(Zasz8wWKb=enwx+jAo-o6`7duuICcbiSLZlPD5I(oe3paicKsnMT znC^oRR)i>txdFiObV&S@PtsUT(}y5P(*vAehUFpfiEfB>RV2Nq(?RI;j|7Q)-QNOs zQU{pOrG3GM_GH5Yys4wda^2!8(Nmx)qQwta+<9TzT!kA{_fBOR3GyoQujIBmGUCWt z?4$TpXwXc08~YE8ayIvb+RFq)d;nQ?WlYIqNsX%9#Sj36t7;^XN7K>%M!m@bU3Q9< zpyI-;jc*F{8BF3pqQ%E;XB0Cw7LpmN3S1$zIK;dG*5&!E6_tk+&#T1b3f!};)toa` zEdT9G>A>yGqqO~JoGYgSYS3#eoin0~HhhG50H#MC%dxrwBC^(R8tTvSDlqUZj4QAT zrzCF)s21Ql1Xz!xfKD&b)a5ndulqmC2?cHUe~FVGPKwAt;0uxx-J1N8I>RlysU19X zyhn3P-~DqM%EHXq4?sHbfv;P)@UZuDs=EIqQ^lqGM@!^)}dfNx~VWAin`IxZ4(z=?zpeoahEYf0%TNX>_Id zXR{Cz+229_VWcWd$nhga3~kzh-`T!hkA=ZV08h;@cbeps6*4y1HFBy8R%bg};eZk2L60H62P|8JcMwNXom0rUvEuf*A*H92WOyYxU(m#{L!ccNS z3Z4NZR}BQ;wZoffBliPT(Il8mdXDu-jg^Eibdhk8KD#)T1Ai(9Zi7^7Wf57EX@9Q+Nz?~SMut2b&KOnA%m{Kd*XvTh={04_2=R4%Lvr?o3dbWeG!PA197Lk z22EB7e9O&2*}#Y|P?|*XLB~B#XI7C4a?!^b#1t2XxSYvrRoG!*#$>4bbgW|Zcczn1WBwhw^9{4I7EB1_Y$OJ2g97GATl*$_mCEf|`Xw)JD( zE137;WOQY4Gb1vDuS)+z`6%HWBpa2_?hGh4^@s6IrkRv=FGI0Kc zOPaCba9XEB#$J6C1N{Q^$!ZG!&w>krb#8@q2JS}$bT37oxte>V*|P(-zFnR#ZZ2kN zfCt`V9FUVpo{$9`UrH+x0B>^ePr10eiJBL@8LAW%QOX`EGuO+t>ih&Y2S}xQv_*+w zW71+@s{DU!l0`{sv0_!gwrM(_WtV(xCM* zrwLB&--rF@>{v1johhN3tD<)vgX#OP42H`vQP3+rO)@+%9Ygi_Y2j)4H4GaCN4pH8 z*0_C*;fXm{y~j99dKZ!bDPFq};F8gkRQ^l`&`^p1!%Rc??O6|1>ad4@vUR7`@iRb@dw$a+EIUF7iR~N7qzMIYQcn-1F3dm9GnU-&zCy6M@10 z{A~s!VX+kMv9uiJC{p-h393bg{{g1Q5YqJevaRe0Fgd5Letdt4-dS!|tZg*yo~mO8 z*rJo(8?h9T-Sk)OfxkSMI6`EN+B%w*zCKH$$l8)#pbIG_S*ct3%TSIHqswD&9dh>t z?H7c-%p>?1xHDslc&WOxiZnc{!b^iqV|@a{LWWzXG}Fn|@t_dIb`UdbcGgxDbZ}^C z0WJBHgq^%}kRxBNbZJL~e@XL!L>K)7q}qw55eWYMkncEL%R6)CFW!`{_N9bA#6g`xo97G{TogDe4Tn7vo@W&U}2A;GY?=q z<1Yd z5aZF}nnNhK?TzyR%lwu-l+ZhkoNg9e2t0mDUjO=b0v&j7HI_YKU*B6GUPfz^>XCEF zViiL!hSGyEUxF6MP6P*p1K)`PQm<(krAov5N`hK5=-_M3b#tZl6j-jW0e*hnA+xg; zG;V`OTcsYxB;%;90Zt;oCNdTNV6QMjHJ*F3A8zM2Rnx7{(H$jYcQbFt_<%nsidH28od@@xqB<|jXMQ9xp(pv}NC-dodvK^nuC)@>chM*844uT~k z)BC1moP^Y35R3{_!Tn%WkyiRI?PlXqA}hmwYzEh| zvlS6vfuYX{>&ii`Y< ztG@r(7>AtQz9JtRWE}yOfG? zjQbq8h((cst}s&0$C$7a_YDCYVhA{5jI3rM=1k+jg?>qcuviy&ZW+j+Ut#4638*9F z3AKEU4N5QKYG#xGb9bZ-trGg}uM7rkesNXHz8i`s7;eyGcxnBHA`sdt!4Kw;yuW(- zf)g>TpbwSyr!AE|&pb(HNEGUUiIrgyq{`LP)B|I$3;Al+73}&{XL&cUK?WM41CdOw zrSrr4A(dKw3&tEeCq^>Z^)7jm51dRxV!rix$E**^LR6%H2fUMx!;OBzMEFv`F0YrHGaa3m*`c zh0Kj*Ux`|PK}AZrOBx0C)L!fhI4178H|eL7R6Z#lGzh7T%|DYqd8QvSqMe`fIW0}Z z^3H=zgFhq;3G)VNL`EQ&IL;z-%j}^YNm(d4y@ht1T}3z7D8gNA)ku%q=hncg{?UgX zPy7+07cyulH;$Nc=JX;<2-AAL0gRiZnl388Z{~446MV+$SqJ&pn*H^LaIC zwc%QRG71#txdGH+d{p9}!YL+e7naS_L6s>MYP|Y8(tmCLG#cj6pyiPhI@}x#HD#y%#+J9xl z{=I#z24E-ly}uej=w6dA9mJUz#rE=JoJHAD#-UHfD3fZR3ZUvCY|CLQk-Gi>PeDxD zGhL|}HeIU`b1?~YVkfLm?Z228+1H&v!lh_C5k9W762`kTeIC#Xs&7r@z$xg9$5g&t&81= z1O!u49N9-P#*k)y-U7hUR}6=Kdr7~3rEUJ%$OcO&D;*@DgI-q% z=ngmnLpz27i)TioT$p2GU7~5Oez3M2iEn!DoTcbi@)dU3q_^w_q>FnNf{$20_i*VBznbTBb-7kqA*z!=r&QQ-yq(sZuARffE5Gc0;iU zqCqEB0$aa6&mTYk(K&>dVm16*5*L)@s+Q5pSAr#K$hTRVV+hR-FVd<*>L}$m2KC#T zAJ5_uUhwlM3i)>GziFkr6?9i4lf0*P*Ag*UYmdywK2;a4h+MIp3(PBr`D-=ZV_b~l zimoL@L|2HC_R)K2cqC2#Iv4=Xq0iMqOl1a`zO)kwqb|G45^$B){y@bP;$x9+(%x^u z0&S?o?$6~rgN07mzT8!yrt_|3(zcfu?r6cEJxDhl;_BDsBi#jgLL-EE(WmX2sP&{5 zcrpD69gAN}%U`uLnt`DKA_&uYf!iyplL{tKzvjq=>jI8iyfC1M&OuRr)PJv`&fq&x$j9)h^ZyorS?r?K z(KM0*)*$wR%NH+5_{ac#m^KFt)`rRSScYchP}tXLr8zF{7M@q`Xyth0^@c!+Vu0mQ zWh!ggYS?+d@>|xa=bHCq71&>!Mpo0+^oRfiw_Cn6-7Y#-2sTxFUb5x{UCoAa|I4s&lRPqcnW_!t!4l)aQ3NK^J%)dZvvSv zG_C`BH|~8n&lklu@X7k^Se|DRFAPMd2+?Cn*ChP<2-NJDjB-BOqgvtYywN4%vH=(JQiY$yS^9?+IcdhU47e)UoYSjTykgO_8REu*qvFx>#lT`-gG) zFh_Ny&v`5q0fDjiMnTcCX$|zx_w?*h>(e9T&3AuugvC7x(YyKafS3ZNeyD9l#-Y z{H1rl6-tra$s@ZDM^3`;fvMUpN4(MuO$=8R12zBY^QG*v)4ZnRU&uN8TnM589B&9i zH)}9;oictBWkxK5wD91}NRwo4?U-7FQdRRvJ_SIKa?l_+t#@BS+$h5@1*qWw9d~$( z;Qke5+fRzSc9W63j!R3?#pQkE|j8w(pb<>{eIc2`y~=YRQ%66DML;TEsY5 zad+@}C(hrIiBWhFJ}JVC3Q~RK=-Pkif=kf5PJr?ESTWf}T)C z+$jV#D(k_Waief5+-VA(#ZI%B<;{<4)-Ub2mM5X#nC+=|6dE9aR(Xu1j09f2MwO3P z(;|WwxA8(2ZleZHs)LcbCNTcl<{)2JM5fpWm8zZ!{h)gAA<6tuaq5Edsn8CKPY3wq zj$)SwKPQyaXpPH0Yp4!kDimn>vcL+r>4j#zV7S0Wes2zOHDL@8*$kO3qXTVl9l`gs{CU!AS>)gY>AIs+;)+dgc^}uDD^$&eXHu31Zsk(&p9DcA@`> zw;)5oM_?XPMdqZYb!Kka#fHj#cLtaJ^!TcpgukuN*Ua}BskW?m3`(R*eY z^tYZ>m2FifUwIiX`&Nj&3~Qhs^yid2-vT9S2|zY>M<9^DjXL0*xN~ug z604Y-B?9$ASCJz(ijTWKivOkQjGV_M(kv}kN5sHhke}Nkb5XMrMS=j2OvdmX5Ubxj z==y;q$;(GY$cclgs@UNKes=hgTT?g}gAmN{QZ#pjWWa?P&fG$IL!7KvUYxuaVvhVK z53rRhtjWY~5J&6~r3{(s=yDK<0Bjx7zz>&PvF&}%Cc@i9Z#)PF6n~D2$qLENpzFi~LQ!}?U zTW=%S&(XqiCvF>jysX!2^|CC=&(bd?dmS+0Yvd5+Mn6eNpC7| zvzirVOj^hs`xgXF5f)y>Bc|T~+%Sca3g-$5i4Fxx(hf?nnI(+u?_ZOZx23cNa%R!^ zGI^Sh2GS3h4Q#-0RSM)NwP2>uS{1aA{o{h;P}WU=t{R-gO0-_W5NOza{g~u}C8=-- zWZfzX7`>f{Tq1nnMs#$pkXDHiHy*Tu2e;LZ{$#B}qeGx@Q4I?G#<HggTcE?YQYc3|f7jd@BI&9RK67>A6^CSRwM6Lt7=5 ziyazL2gBvRdt2Ruv1HT^14Sn*(V@u9VG}>}pgdh=0<7MOO1M)U{PyfS5%=R`Q*o`kSuJD63X)^5k#TIN%Z-%b9|wL6u&{Ys zLz=7=m+$kBEWI<}NG^WYH~!HED>wX1pVdbVE=@r3XTmzwWwiz$|86Zx6zleBZkv}A zhDiD5PL9^Sh_|Y%Pi8XbO6M08dTJqUId}i0>m&lg2vm9DNfR3ncm%ziLScUOKPJhZ za8*ZUCDiU896jRt!l51gq8S2LqD%CK;{6BY@byV)o$iLswLdJ4pS5fF;sw|1gd92s zw7CH4Sm?BTMZL0aUV@7_7s^U(oIOAP3SafB)R61n^`7&VQv zA!^^3#8^_7L{e=2&$PL}e1K%?rt{OkWvUQlm}%!(!l)26kYei`afsqdv}*?p`-cmQ zcO~=Ds6T5Ww@gQJ{H~G%=cV*|N$nFK!@Gc$OsFQ>(xfLH*l76ff{pWELjO+v-TT2v zW0Ua9G;JvVYwIJw5Ibk;{9J`d<%zXFFedO&-N4!i^ z2Nq1~N~FP3G>Z7TVPsg?&m;e`E{6w~dqMi$#FjT1Z8GPiB78P6oqgTrw>E#@N1YX+ z&fgk)v$enA8J~2a-nta!4JDHWBm8Jw=P0CRPGOV@l(ccpX0#_ZPCM1#3F8{!WXC-H z`KjiwS^GgDe?cF%1l0b=h;9YW1a1cdaM@yxOT&h4aJRMAyzk%sI;c4m>QxEbxjDN& z??-M`zS}IreMBe@kPNx;>Gj7#TfJ?jqUzSPGMp`UX-+Z7Y3I34XQtNbJl1@7IT5h` z@o;`A$75q)^x)B^)9v3ovs=`eu}8VSFS!uz#R_&fktHwsNcp48pPD^KR>!l5u%-^fou_owm-O zzL7q)PP&KJZj59bzB}AL^w{%3{v*A&<3o|^Ht00FNVZL#qQ1L`TUKkCaK0ww{+;6$ z;5r|%&vBRT;IjRBd1?Okb#B)J=ra7yvF4IjPG&j-m~z<(HixTp*(NVv3b=YRayb?o z$tnU)PzB!3-_}bg#+q`cZO68aonOZs1Zm+mXe8p1f-aS9JtooCZ_H-&^=!yTu_A+Y zjmsf%q`77^8jd3kDxeP#u)DM{g;Dd$XC(t@ zY*q{1sO|ZkaMkenJlpfxNg}Qktt(dAr>(YHt)ANxiXF`$Y6aKH%*+Z&P#z&7b=3>Z zO?J7^vYp-Vsqv;NVA_)lQI4h(F3+Fwt#xfGMjbYqe4SBFQ7(^gK;oyXJ9 z&p!25qq{MixfBXJ`zUz;h3+*wet()QP{_%8>DFCM=a1Y3>Hnet=oWYVD@`Wyj6Jvt zCknjq8($sSvH^AIGnO5KOV&t~Ezf&It8wSw<^g6If-)J2+<&1T=*1RdPw^=49~+ZKaPhYq@Y!h_`Vn%pT9z zF~^_xIaf`6f{{i5(Du1Dt6Dk@(Q9^*F7jytawUwV$9M$<>-w|&c(E{cJMhb$d?pRs zWmnBVyLG7@I+8aAi*G=I%|D#+jbOB%lNHI+pmE-GAMm<`(&C>Ut<%#4f(@y9nlCx&x&=S|EaK+O-;%I{9X=XksP7gLQ}QQlK!w0qgOFOgS+~< z!WaI%bUjq@rA`qcBJWPlc7zDvSWcAHnkG?EQ$73Y^+fl zxc??*gP+BSRr=Wrh~6Lj6VQ4(IbLDQ-bm8<=e=z7he0Wh^ydFei>B7L0)wYE7Xfbo zkSUH%B0T1@hD$GV>3e#6+IsH7ZWc@s8hITHB+Qdwha z)j)+JtBQVKVG@{cF_72-k>Qjm{6NZ$vh}N#Ze( z-V!`dJPt^V@6VO5yShE6Zi>$x-P0=>`kz`k+C*JT-+Gr9UX}VQwl$kE;{xyi8oRra zTQ?Ear+=o4D+ppWj}qR{f#u-_HO)`F6yWWWHJO=}tpuX%+t0~2y>)M;@>9!>ir0kx z1a%ae#^S)%8haT+N8`do3`+#qe2Fk4bG+|FaxBnJ9YlsdoSBrOjK`G?bE*KY4J7gC zkMV|%2{p1Iu*sh;G=vVGR?%EQGtTy}v$p#;z$9HQQ|0-2%jPr~g_L|l@UA4;W7fGX zAeS%SOSyGcC0j>Ac;eOLAj&BVvrI61>iZdpFMOu>Ug4Oh{B&CQ>A^>1rr=PiTL9uv z9ZdVprA~JJ`{V#N_!A(ZQP?{T=jQpot#z~rOxDdR_6i5h|PFSiEI!Sj{`Xm-=;ZOy22A2PVB zcHfD3$2R0|d7TRSgap7HM>T;_pM%WWEH59=T_Q&ZPnP%9Slx*HU0xyUMVy5EBBC^>El`PW=Y3Uy2!p?aOi7i)|&s z1<|iloMwU3$0x$@Mj{WpkJ15Yb?ceyDynO8UBG_ROm~a?gF>e2j^gP2f7m$3CQY=k zy|!)Jwr$(CZBOfI+t##g+qOMz+h)$2ZFL$ z+I6xYfwNYMj`T=%gK19*w2xi~XiT_ZXI%=vnO7BpxebwyD~;>29)_FH%W;UVS|k*^ zd9DI*b^#9kN-=(~;|7>D{bo)VHl+1f=%c3`wmACEUA3dmEH;fBj2TJTS~ZuY%Ui2l zU}@;6SN%oiPUac}{5h-G9~DB9>_G3IKhCP^XTbS&nhCDvk4}rFtN5?i(f+jEd|jlv z1OfZ76xCt5P108ijggok3Q5KFc=XA^vqXTeTTCkWIb0s)sBaE!J zBm>1SkTKM)NmR_dXi5@j!s;n1lj;e5G&O(5@@M>G;5Fcw0%A&gVnlZEy>4uZ@Oy=C z7Q!4gud)_m3lQVh@xBDeB~3_{!omP~Xhn(ZaXehOLbiSMtL^ivP`snooO!ewOk&6o z=sd~1ttv7muf<%!+x>_@HWCr4-{bkKs3d`N{lP@8UqmWFFyF({=#2R}X0xx^@1(8H z`k#vBzPZU`3#sC1nCzUu{q$rJdY@?^R&hF(aqth+35;lZU$r8JYQo-hH*bK(zqja0 z3QiD7qmAlmM_j@*aj0ai8~Dk&ThzZH1EBKb<`%Rt$h$jMtkTf)f$wwGq*hRddtZw} zS2Z&BA&&nwEgB71>@Uzzh$z`Bm$AMskQY@VZ9PSooIIMS5kaUA$8trq$O))4u!REf zwI1)SpX9ePyRE9pFnC1_iH893I6za?cJ66}(^nfB1yDuKQn{%1X5dl$dikcgq;JZH z%Z=^2d*WGQ_Z_4p1vrzdr68yji8^%ok>}0s^I4h5a+jaI-#BZ=q9zA@Nabf0<6KbT8nG1hgiRFED8&Ty6s7v#qaS$XQ)uBJ~| zzL`F7D&9^iK<*>Rz)SVkSjg$Dy+^^f)2k=0z-(+l3&cvz8<0;f zG*Qf+xYjG4D5%z8bo{6oN;}TB?U_|II$$LxW4Ny_S}DQ_)4^XB)TN)w^ov2d9w1lm zK54-(_WLseuGKtnX_49yYM5@o(Biwf?gOf)^hcQ^I9+QG zDIVtsvK`>My;QEg`j3){8p~uM;NB9Zg>Z4qnL+H&N}Je~791x<+MF(QB5X(H1 zmjILfu^_+2D!yvDKHhB?#5-_bXxl8(!?KDIn(=xx&OuguINx0KqZENgpYo%3fxADz z)+9$oaJ{|%WM^<^HvfW8QhL+>IsXkcNT>W`M?u%uI|@9q0ml(aTUYwV#%2wx3rG{Z zUHuJvx#$B_e)~*#>RtQLx10tW#J|~eU%la0BAiB4R{gVEeu%y4v}4V>*+w=Bi%GIf z3t0HKn*r+kY%%BHK2vi|nozooHsTXMs|7=R#kWm*eZ&O%So}jfa3e&V5gu|lAjDsr z!R2DE0Ab@Trv$}4F&gpVI^ZdF1vLry9xREkR`4uRL~B}h-J;6cV=E!) zd@DSce5C2M?P67$2`+MHnyd2_z(zVOUoWCnL8q<9>1XyZ$tQ>gal04Swo3p@vPg*P zrHG(Z=~voe$C}VgCbw%~DsY8$146<;K1rWnA2aPfuk|f4)#V)C3ok6|OG%VAa0BY+ z5wO=4%6nJJ=ly03Ju2NBRkoK0dXWpAoc0qNPnZ;1eBaRT)xv_OE@N`l=Hh94JdQ^P zG7k?dDy;iiJh(3-?FT_H$o1?_7v@7M-t}r|1bYoLx#9n4JTN@L$Qn&AXahz|zo-LV z29ObcQUct5zC1R9hY7Z5Pq%m0@bm^fuDMHc;q93oS|!t!)cvuHN} zT3dc&dp9?!q1?7IqnL0p{6?0U6 z`?F{B#tClE>N%-J$984H%O1hEW`Wc(V{W&xO43sB$j)1FKvHVbRjs_itFr3ut2#F! zv34vXGfjguK;c)ZAaJ>Y1G)2?_L*NOo}FZps+_GW0WGRi zrPbKgI@U;05)CLEXrqmEOc?4)w~nIKu>LYwJ1NrdHkic*LVQ!qd>5!=W$_>@kaR;x z@T-9_{f+Q*fmN3R6rd82!=4^wN^8U#NI4`Tj>FKA=RDzdW_U;}X++)-F$W!J9N77p z11SN{Ozd2U_>?&4UMN>xkh2WX0D1J#wZSeAE5(gQBq8x;6HPTU>?u>bEpm}sd>+w| z2uV{$SZi;cY1!N{zIdPpkg-zN-)h)`USHjW485`gx*d~rpt5!fZEdQrxYfi&($x1i z>DU?N7@d;MaK(<}E`iP^K5n zRntgsNfMI;}(TL~%8ayA_p!2B+Q<~$8o}H=`R4Gv5)={=2 z9j$81jXHTsU~G`dgGT*4>D8%Eh>Bq}$zp^Nwu~H30KFdy4^53eOyU`S;#6@<$ffC( zcFUh-GV$5P;*D88IrHT!Kp+qfvT84u2^>!p3Z-dPd}X+F2m}VS(2S~7h&O_>-7gvn zw{XY~lvMs+ylXPlvoI9UF)|8u8+#o}{ikQ=B@Ibhz1;OB8RZ8gZdRB8Jyd8T*MTPJ^UrF{l1*caA;h?lOn#ven^SV_!Ae4!8 zgY9Y=Y@ac~iD0o6KwAzm`Akc?P)oc`lrjo!sg7QW;GNBOyICT`ddpjVNrxnCUV_CE z76JTiFuldlWYjuDA``3AdZ8WMyJHhs*pKRo4hi}gzOh(B;4J@1)(S^&^8Rutcj}eW zj@WbzO|mQ@6m+OtmOu`M!WV)^GT0)gxG7endWNP1$bP$8>ulOX?#$2z6= zHHbrAwQ?H1nf_@(P-R9qzPRyxB*Gy+Bc#)A^O*QDsR61yDt--@hec!Cw@3csgp3+i zfUA?B19LwMfLg#ZJUKT)zb1vALw$3jo;stRI9kY)pJZs-UD2kUb;P+Ym25sj18z+p zYKpFl7{Z4iqRgjZfyi6-4!oLjaAF$08ZJXLwwIMdjy0@&UN`SyxzD+oPC5Mhr`>+x z1Sb+SgCATw_u$07iF)~JiIbul)jle@uyZRHe)O~f@KDFSE+&41aY6Sd?QUp?Z7vLD zd!^+0w)C({*lz>le#jx_Bs1oi-fXx=Arfzj?_707^Qg+K#*0*1T)QPa?GB02wb>*` zNB{BQ=6GO_^&}UT^3+I*X-={^-3&Wk+_>`jbT=uCipHE%4^dOml^_tG*CvL8&d^q3 znU6XepwhFV3CUzO`*5JN_2tZij|cm3kpbq_kUdS#F`ESnO-#yJRy|WRGcK5A?R1t! z&dps+@|EY_JI2!&8C({FDu07Cp^rbM&FUhhjjk-IDxQuByb(!J2Te!takC|x@z5b0}9 ztg3?}@HWvmv{Ut(!d-BnR z`i@eB7j99b@ADnQPfK|dp1qA^pXcT4R?+Okb_3E$2XITKVo!go_4t7i_U0;!R;}I? zfS2~Z$F!461s%!XECGLpK<5*3xjS8{nhkyGGF4#Z`x>l(XEblVLe}nf!f^ie2e-c^ z(!7GRB#mAm>fN8ougAFL%UiMR;h5WPLrKcZfyqom7Y-T zFv|Oex&oH1_5b1)$MpI(U>p!OrvC&V>h$L};4FX%hLwvbpViDo*)yl6VO^bn#{p4} ztuw6%w=YIVVk&;E!1s|3cMQO^LyoM$y-*u+>OUbCV|9C_uXd}!goJ=Pth;?m583+f zPfz;si!1$ki1#1uQg&u1j1MOg+wcy7N(7J2}rQlIjP(_zjkoeI_TTEYVHvBgrJ99<%{%Q z#b)?dHinS_Sv#WRj|*cRd{@2wSgD*>23&OLUTO(L3?&315AvX?dSDp<>gC_wD^ zE~5SFBrbS5HPuARsyt>a7o~i*4B>%8ve7BWVePBsI4{-u1MF0qsHV8Cu3ihN#-J)+ zKyOuScS&>0Qc?Co!rr)k0j+M0kt07W+8iu3PvLAQ$A$}rs+|{MUYo^Wi?hPYA_Pe6 zQ|^!Dc+cPL^URK92Wqn&T>cv*jn@xk?OGREnG&{sLHUOsr9(`vc?}c&&D)d$2O}I! zDWGqENLy@6NDwYU2tS&QH3wSU%ciTidC*})3^?5xvEg1Ma6Lp1l!K5gFU)MjkK$`y zbPhCDe40oBF#j`f*)N@~?V<}kJ@$o8RoyHm-LjO+r`NWJW^i+})6=#ru) zl{0qTxDs5+c88gt?!l@BypS-_T}3VtoqopOW0VHs{B5~K#1375fx};ic@Eft+PGQL z07&~VD~=blNbFw5<5mo1HwVNjR6W9EbeqfecJEA$dZpyTh!r$zEP8DdH2Y_pE`$Y} z3irZ|Y&5L{CfasV|L9kIaT5YlBE~+nMI`X88P2oI%F5fpVd*aoDP=N#3p^E0@9hyB z;RweIZ0-YBKs2==MYR1Ohy!#dh)-uRE0Mnz zxgH8tNug$}Cl-M)bNBpu-0@|C2_63-mXO~RdQ}2X(dK?Ttdje4s5d%cn%1qP zahK2ypI71E{Oze;8cL89jxZfSK-8~4!Nv7eL*qj*PglYK69}SA={GPjHOZgG?JkG7R%ES`btPE66%h)?l4qt zKlaP8zYr+04KJk&B!O?>cHWcxZw+$CZ9o#U3(EZJgEc6Tse&}WIfMcj5Z%9oa|)S# zmQ0kGF24g&mw_QRvkAdc=SjizHga49b;bDf%k%cNdfnuC$`k z7Jokg;@?&ok2UX}R2UomcB$%!63+*mAZrFS`faOy9}F*0)5?a)p^f>MS1H0%%k&6n zjItDdI$9LA;2~funyvNKRNxXyFp$rbZ|zB+)7@O=d@nm42S%vY6 z4Skj;aGKmvaJR#q?{o-Ke9@=qQm9gG~p2kFy+(Ic)p z=b5h*;i6APMQIg$$zJ^@coTiEk{l^;AdoN<+-q`Ik$++KK5Mwij0OI`;ZVy+Y|}-i z!4laHA2#p@F#)xAOXSRfz@9=QqzhA~Rkx0U6$dw`gjSwId14|-36rE|D>2LBa82u< zv(eLL*bBf%x#n;D^4}OGP9xq&0;61m-JpMH?EpG2gcH&lmB)5Zy*Z`7<$^-Ko3hrU z2bPo(VO9e#%Gr3nVi>;~Qro8+L zalA;673D0=MIBdI`0kQxA*WMxsT0vlCx_zyBGmAO?!N9}Zr%x+Q6{owyx@TM#plor zts((l2mCq%yMsgJAauTW-MfYUK7;1QXWs^WhFX2De^P)%Gb;=Z=_T$Rl}FsYdP^dv zN&`W2W#AuS)NHd%kp6V3Q9+KZa~EO0550i|$h2 zmCi_GIt&}OeZKMFBYY-KokvkX-05`#-JLPy8htLn>vf-lLz}VMQ_A@5-8wGF5rVS9 zrU8I6e$DXTreOikjH~H_1Bbwv04J>P$`K8PGfKxF?aBKCA|wt>RDPkKUm#qr^?&IN z{lGN;JMWPWHUNwS0t=FkF#yZ}fW93--D$%#+}8g(%%*x;BwA7N@q9HP=^@jBP_FFm z+WI`$T^GN473WA9vT!C(F8L+p3%MQtCrgJ zen;2yFFKC_?2;_bT~(G5dQmK-T(@{ph%pc)N@yws?Mr)@q^hd793nf|sNwGRpSNgH zX$vg&r=mE&n;bKuR6{+Tn@apY`Qo)Hq3}u2bI`vMj5Y}YNz&bGCWEHfGK>o9NV4xJ zh#B}2fii=r1kS}lNNoO?NT?bRf5;dgGiXUcpc#ef?$T8Tfn@=MOj3vcWnb4?8`KTd z5TA53pSD&&qm%xXku;?j>48UQVdrjSOQy9nDYmj#uR(}3wQV0uPHdp7TUd?~tKNB? ztu)3mca(|yD(9jY#_)xHoPmSVTp^eN3!<3U^fY=vhRX!ZSe z9pRU&UN?Jcc#igMPM4FE6JTnyc7;RAmEN(2-q_4F52Zfjx7|lzOrg*HW*#f6@mwf& z+F?315j4@!AFXjtydt%0<%;)vU8-Sx}0;Q8efd-nmMYwA0z;EH`eU$+cGJtDtZ z*y}rZZ!e;Tth91%&b0FY2f8k$hF@F`z9#D&ZC?};tIq_!x zukk>bzYxHfi`0b7-x<##daHK)=rn~dj>%v@CVuWhy>&?8;_Wa1&t@JTioM>yQ$ZFZ zgF=-`*`fk{vRYKdOu@|^NC`fYh}$<6CM`=j7H%&)=fVGxAm5uV1mO1=9A}~JN#UMi zVd@LQDQ&qLTaswa$~Mq>X1|I^pXN=a8&nkbpXar-C2^w)#a<>!B8C)(<3FSS+Muqn z9!F&x?EDzgdKwEfi?;{^T~)|}_k-~WrZ4x<(Ro^IV(ppHd_>cAt`*}4bdVDJ&(V_s zh~~dq79G@ENRp^SnKw7B+C7UB@d09`YL~yh<^>GTROV!{I8lA!;#O*pCritVS)QNS z%mn~3Ne|g6pe{@rsk~-`>ELoLqlAkD^)cuIu5J%)jKwPo1)J)bfv9{D3N6-P)CoG@ zwjggL@OFP7iwKr_w|LSz>RVU~E3gigNFnRp`S#>qDw@?t%@r)np zpPuFUStk5&Rp=HK<}}(_t)g9h-jSG-Ic_e3?q2`AsHA39P^LxVyV1A%Vcu}hyvs>@TalbZ0MwD2@j8n3nKe7lT3rE+>S~<|Sg*Bq zg;=IQ6%`@XtmH6qaf{Jq9jW-|lfjQ3e`O%mU4wP5>Mq!nm_;5{wy^q$R3 zImLpVgzCnPj&LB-FPnr6=}KKLNDaXJ@qXLsLO7#vS2Qf3iiI&ibTN9}ktt{TL4kH%Letg+-av{i|q%>&GtF^1fLM z!>4ML`d(Q}_}=RHC{bNiXqHA!qtm$=U^pu8w6!R1tiU?Wj~})-xFgS>a2R#0k3dc9^KS!b`PyA3{*eZb>;~7Z>tSYI(2~#2=wcZl`~Y@yNyP504Lg3J1}Co+jvy zeQL7g*`&h7%?IEIV8E!}-{{^SvqU5v0k$k*vBmZu!wX*TjMM`{6`t~3Fkn#2nMud_ zAX~=%Yi&^G9Po7^@?d_|_g$a(jb_Wik@EQSTIarGoWAwGJJkrL!F#gntJQS^tw_30 z&^N1d3W>9Re=vHyY{X~S8%eO~}(XMbR^h`Cet07ipQletnrkYBF$IIng1 z?iwKwC^m(0D!;m~o&>wQ_&*56Hv1SyPy4uZtEHExI9~`V!M}U|L#0vE6(;`MJ~V}3 z>)dSN-(=5(XA{=`__{t%r2-wi$yA#Zi#BD9Rej%Id_(q50{;v}v8GZ~`FLKmFDiq~ zxjf%o+-mwxXUIsz?CS@y)|wogyKiiaIxCy&-&+?=Z>Hf|uOeoTE7zFl+3wYZ$L?SH zX)F{rTuT70xSK*Nt+*{Q(?Zub0mAKy-ab^7U*Q8gao&BN@#mc>WFAsR>W{>hD!3L3 zppQw+NfZV%@pnG=sBP%x4Yn6*Uoz|rassypmfGv8^4b?Y{Xp}Ub5TQ5euT7dn`Td% zCpyV(N=&k{g(mt8nE9GFZAdc@&TVMHWMd230&ZK|eZcAV1;hSUK%?{@krc6^4k543HXEcx@(`Ftx73t+I8HBDSt^?l=o>eiko}uRGKZYzb z7Er%Nn7%n|^|Rvj*~)GF28>-H@R`{5|M`)MuRXsGYTYNO(4Opp?R_Q|c7|tIepmMd zI((!>{D_pjNhq`hF>zCM5hgJL?S&L0T5omInz#J3kguHJh+1xSUqQ6qZm&y7|FQHP z@Tk=+gr13i^BQrYgy{ni_LH6S>27v-NV9L{VZZcjy$p+o0c`jw1JZ^pVS~0r-H3pP zsetsv$n=AR`|oEF?x_E{8O`#B(NnK>?d+mMMXcF7^S$4l?3fJ%p1CV8i~vb6VE7Vt zO9syH<5!?yT)MJlBTHs{U<}qmuzT~&ui*!(*6d(*fG^-Mto`z#m6S+C>8u+^A+!0htYl@`UET6rlgr-w}Ckml#c`e8N;h%a$h&^#SkIb4&d+`<mNJG&;QF7WP^%Hw0m1fwQvw84_e1vVs4aBKe|LS_?w>#)yOBc?6 z=B;vz2rll-vzO5JWJ9Kz9gbw0PqttXwosx(5qq~U0?02yxoBmm2oWi-DF?B;fYBBQ zuJZB=9+>QLL}VcAXhDRjulJ0wGDt+hiJ*r;!6EMQNO>##!sXdN5MT%rIeNFqs~1>w zC^kMaHGC;pOkyBoB&+Jhx2b`4AUyMh!uy9srx$f!x7qVLqPjKACvP{?5yP}?$J9T_9NN}VMr@p-&LJ?DYc>Q-J^KhrqWUDcC)~>&s%#k_PDT8rZaqni?Td2F;P-lIDF2Y_MtRbl^JE#l7~ou==()~Px`P0eW(kZ{_bJ(}dUcWO0 zfC7WVDnCv-p!68LFsAGx_A@7Wsv}iX?h5@-;(Rn50JQoOY>FSZ(&e!mKC^|7w920o z=9AM1bYe$}P#N`Je(0XJ{IlK;N&We=HsiqYLe-??LM zcv_kh-#M+OP9pfYsTvF=U;0k52Uo?8Sh{Zn;AcALMA04F%H>&Hz&e@Qwa83gq;Hj^fvt^_NmuNf6!3?RH8|glM+c2Y$8g=M#)!b9NK9vrvZ&e zWf~xsV}TPR+8xe)H*4n0UrNr~}*y7I|B2ZB%W& z_Df;T1oG-P7SON`km~7?5+_mOC%9&^<%v4Rq9h_Cmb0{YcR8m`dRdAP_)vK!Zwkp1^;CzR?=VGk=@pUO(3Uml~1z}ql}f{CcMV>_gahS^V+FO>jMkCIXlcu~_EdCT=1 z5i?Lh#Da?-BR@6?6{ifTks9 zqzWTj0E6w;uv`Uzak1S*qBy+@c32J7W>MS_*{#-(OX*WnRnZQ#XBK^5%K$Y*4h+Z@ zFsw`hK~>6}EdnC*#pVoA90peB{dq@U==(j1_>WLwn@L9ypQH@eA9xxWSy$ z$DAW};~PW+Q%GjwJXd))u|xQY=qBhA#zIl{Iye`Fyg+FOXvR|Oh~4T-F2~vaB3yR} zB337@E}Vi%vHBTSY1Ul17H*MKJ5L-_5+VFwHqIlGq@qhuc)D+EoIlVFKu zX$I>nC9>tFceCs4M3=ZQ43nBBsT9*UcHQ3AcaK?5z8*w0Az&#`09h_DZI7xrg7J9< z{r{gc6XS1Jz=Y8W%p1vaKN*EgWSope zMQ&D#zaSLO%l~N^xfb=4S}KI*inNdk$bj(xa^#TxrSe zL}!Bvy6gwDtU%~m_VJ7COZ4oMw3e6qz=sU-zphkVYl3l*I#tN=x(LpKXku zKgWLsDpX)$0cwM-C#XI@P`(XL*MEqj3!%0470g>~sjnJQ4ilHu}x1K?r=orx`0UyBQ-O#PCB_)_4`>h&L>q-$D zfl2~2@ift%F+~z_@#^}t!qQVK8VbhRFp#;@q2$Vl6oWE0UP2Kpp;theafmU}(XPXE z>823^L_Ha_w?${R(O8y73^}-{{&tF+I{eugLc26j-~;1Ha+N98h9O%~o~s&qlDw32 zka&tffFV7>aO@%J>?vJ2nBr7DV)R#aYm(9?;0H>V+ofNKL4SJ00>Xl4X9@R?kC3%;X zRPkYRad#d*rN*Lq<{QRjNVLS>HV%E;!knpG0Fgi5#lX)=*wEL`Nly;Rco}uVwYXHq z7G0r;(qbz9zoco4pbEBOI?CH*?dYm4AU+LWL;`vthW%VvVs0YU5v!HIWcryMW8to1 z09mUDy-~02jgsMNo@(jZ^FftUa{8Z$3$oGhbfIRbPdIAQcm4y*Vy)PD@qvLv=4T-W z5So)Es|)Z<>3I4}TOL~AJhEZ@!3Y?_`bH8*Y6%KU0pUm10bhqgFX|b@To+FGy3?fY ztS_>Iqj&dxa2o+yxcGSwELCpKpsuQ5KrN0Ty1I7ZPM-lk0hS$yN<)<=1g@Ko@8Z4BCUy z36@wzF?ZzV21_JL6x`_ZG~#;LEl9+aWnLwr%VrgFHsb>^-#ZiDuOK3I+%Db;08))Y zb^DeI4U#j-!aXmj384#rtS~8BZ%@h(#7auhkiA?E%`CRC$O`flHU=6S239=7sVaT} zz8kWa6H{)_$cA3gJW-24*tLV`I~!zV=dd0h=91h41Q(vr8PVoVi7VEXEfW_Zc%vvU z4W(gnp&=ek?g}&lGm$a4xqL{Y0GcJVd6tML`M9ViogTOHO{2VGaS1$V`&x-faZ(zc zO^?m2c6?dbku!_6(1)*&xi(keZppueSFc*O)^_Kag`DBj{RFrkNpfWxOavQCC^XIG z^m+fTERX1AxQth=5y2)J2nB-#%Bqtlnb*Web3Yea0kx zG!Vg`{W^5azU~l#JHC}S{uh-%(wHH;cMn9wp>&kMut`PAK&Zbzb$uIq#t*d7-vt&4 zvU-9wD8yz}tvhbCb!F8*&7(g-_lV1L2D<-;abTSQ%L9x+q03&=K|br*x6=x3n}#o$ ziiL$_o8`qw^rK`h0DVPbG8m7G9t)j}X=1Y7r#HF0JBhVT&U&Y78*@_|h}Z!vOtPY+5z4@DT_ zinwQ2ZUM0$+!v#B($Y@yosgI%R2L4K*Lc^Q(N)Kn8&$_whtr@=wTIl1gUE%$@;TjE z`UV{ez=w7CnBdwMTP=?23Rq=M^nar_2w630PyTaEiWPzyho4h|j1vmDLXqdh8}i&> z{GCWSPvulr6F>sQZ+z*!R<^IfwBHuK(jH1zNdaC(5>UP;E#wb|XJ4zbbLQYs{(Jrnb%nV8wsE3EMgvdC^a~ha27)Vt-@xcBc&Qcz1Bt%-Md6z zU0I-Xh@D4rNv|gl|G)auNiUwWTJk;zZY9Nxi>q#T=+({sN{{ClzPEtLox>!IG+r4O zSsD3ve{_%7M=(bY?{h^1xqWIz8KV!(?G#5isM!w~DW&qi(4F1y7UBjB7ZHt_lRo4`E95!>Y2ixBCoeMEi)JXpW;8DY z4!42{mU_^-PbW4OUo8I?Z~#FC^7Z7#O(a{Ujk;jQGd7esc7t{6zne>9>u0{6lKTFA zmrC$i2n+X2(ewFbjh>9hN}GIxaF)TNe?vOO(i4#S-x?59o|%-n+c(8H+^tc3tEv?UbuB)lTw=Pk?Nwr{3G6w{5GX zeXE$dQls4-Yfu`iSNd8GI5jFb_!Q#ac92dythYK?RK)+JhFI0{o}HDQG%MQs&65Y< zwc=W3u5#|o3E4@9IN6=C7IeoLOo-%7wey=FKJKMyo)vS4ff?QUQU&l>#v<@N=cw z6CL|@U`OS)&Y!*bi5%Xy=cg+U|N3WEh;Gb4-j&1(^F~!?Ls=DT_}f;tU4=B|wr=WZ zXF;w(3wKu2{`Ttj27Se3Pt2@5%n-R=Y9sOS+YI*6algvCc7Sv11{;6GQ7z(cWsch) zm-5ew2|P^-(GL4#WRGXDsue3S>y?w@1`C_m`sv4Rf!i{Phb2f&mP$oexb6RZR&DVYXi~L06)?y#b&Mc9=v$z-BGq{PN& zd=7+dSMwYI-S0myCjd^EqoiCDZV=?D^{IGH&%EL`@Q?UhG#>eG$t?G$AgVyebHDcY zx!7jQq{F83;b?Ij*-%SZH=05)Dw(M9`1gRm38fSF6?ApSRw6Ab&8|`bm&@gh7Q$Kq zO!r+KnO4cDK=Y_#kN+;d@hHdu>g&KK5}9DeT%s`1(S4^V=yV1N|IGf zyg?yY@Y9~4P<|+v`9%L2VX1GYXv-xTzr>Gzme`OWAL46Fb;~UMwCr8^)a`U9&|+0& zpr!q?4IkFY&o<7TZHkcSx3MFX;siF*079f}3pyIc86CCJYlFeS=IWqOwVC_WpiD<%zT2hxXJc; z?WU_ddf&=-TWOl-+9M}+BNeLvo!Z<^QRQoETIgc>`2RSm1)K9e(rYpQ{FVfDVi@ryBwjVk zpD`9H+F+2rvN_WR_Hx|o;2a>DdQfQNFX#X9lfT!ZCOLr-VJP~QEHoU3758WS%S z>c%*;gV~s>wdD?g*EXotJ*g4|*9^us4vQe(DBkhPJhsQnqqNj!zYv*_1NRZ~8f@_@ z>U+?ACT-`yfk#f5bh`rbbs;h2-^7$ZY@0l36KgY{rKH8iU5e?9jOP^Z(5Z{ZP*Z1F zvhMavnd(fdxI@s80zH?2Fh)K0-{Czk_6w-8hwDQzrh5>;%HPx%EXu15{rT+l?Z<~w z1?^;fc&J`Gj$0&c-xeCA3g5hH(9X}!9Two6&Bbx>fFLGgYPXW!LRxn9Q!h1-9Clxt zCYq*2)G?bzoRi%(u0;CyvDPs4t#tf3XG>D9h2V7zP9qV^#)15A=GKFZW6Ls7yce)YBf;)6FL_sE(9Ta%cS(!j)_ILOpGvAt$*#qx!esNR2F1v zzX}wjhl%Gnl2}WK#Y=rDTU@CbpTX)gXj|i~jDP)t(i;{ja5z%}g!FKOyF&3DkN5)E zAX%{x2K+96eBqqyhJ zq~aC+cyf%<2A1qmxN$y;Lsm+yT0*PT#6_2k<5Uc&D_;%gTDR#^w)B}y!C0rB2>i^| zkn{+!Hy+@-4lHizGw5LE#9@M`n_ywK7RTHH!H|15!`g~HxoK-F1CH#VFSZrs_k}gdfatcgpB(nOjiLktS1L2^+6{p99h@W);>ao6HDl}j! zPmFHW^k&D|w2ssz1o~s=qSR+HN0h9-g8rioW5*I||LPgZtX@>9- z&!Fn#;2Ou$uyT@+4>CeRQp+ggYp&&DecVWe7<*t5+GXb2nb1#NAE{OkSrM#J856Ny#p3w~^?q#hV zyA;IiC^2d_O4O66IQp8zSWf<{Kz>rf;SfHXJ9JKPO}9(tWj*5#C2s-;RU?ja4qO=e z!9yeuO(McVnQ2<=51dZyFSbwR6LbZL8B*m5B5TzdUy)lCxF^zQ@|DDd>v;zUjbz|z z%=G3_<}4LV)bYdeSpk>Lwk~6ku)}1r7Yy^L*5{)j*P~eJ4da|gdZsth#{ThPS^+uK z8gQlcLpLnzxz7gHrwr0tpvidP^Oq=rULRW4;D%(OM1nI6hl0}iJk+zB5={qS`%bA| zCJ#{NATU2xwn9Nli&4ekw~)pp>)1lZlDh> zHI(ZK@C(6MhOl0$7}J>u{@DO@gRrl^yi4=P>ZLpCwz;yan!z%bm!!Av^#JRSrk037 zgHv%RFOFfr#^scQX5a2JNAsO+ydUVM-82}waDa0kOwCeM7+F&GbkHqsUJwZ(9KGGE zn=3$hlE4))u2G#+-`Qn8!eHDGX@P;Sd5s8TRL!dD{nt*T)*zCO1sno8LsYrJA?Q^` ziadLto+T)Z;P@0r90#A|vHT8hwiVgiOB#9*^;eZzjP|5z=I+LeE%`i(p~M^QXbxdc zT1tcB4)biy)9-guqq#bS1?x8ba~Mqvg|M;NCcXOz%WIKXC9*y4hV2(Jx_QUgvJnT; zn{H2MG)iQ^j9pSU28RHkzGS_nJOFT5&jc;i-iMYM4de3ki$#Zy#?@Xsb;mhyF?{C^ z&Mb78M1zgZr&YIv0@no&opKpq=QLl{)|*Mg(|hS-sPgC8o8ggzadlJDPr7=K@!y94 zqG;WJGFjUXnJSwq6}NL3qW>7zX(*Z+dS^A%soe7G8dv&e2k`-5Tp@wK&-N>?p&IwD zt(|J%xnjGS`#(#>3!XWh4nO*8FBAZQ{m=$y{!d6EDKv;X-?yb-1BM?|*Z~=8-HChh zA7WJS^NTJ~k3xXLbu=&m5sr#2-p4wN&0=5eqoBjY#op&7k4LEZ*V+s8V`N%8{wd^# z;3<=@#s8+D>7{MJ2FvyP4K)P(meEo7gcorNGXiX=jXaO!)pB}hpG zVzNYPiS?XsbrBd=LKCi8FPhER96%P}iK+2t8)(oxKA)cqf8hSPN3# zh!!i8rXqu$C;G8Jc*dUfvGBx+M9Z+yl1UN49wJWY53?F`VPt`0;Njp83GiAo%rI8% zmcgu15h-)52M9&>V&V2z1f}wDI}!vBT>xPNN?ca7yYZkMwfh<#2s01?hDV#Y)%chF zO~ajLQbFnmac^6~-5+Ov7mv-Ju3HJu?efhlwcUdi9@W);iw}cTb)6&5EO?{}LM61s zv@m?g=L1)DL9Ctg@G2gKtvmf{4e_0Sw{U2eIC7d}C-?&q&QBd1sQc7)feK~Q;+=K5_epYxgnuZ3V{K&5FaU-S z14oE<`iMPt-BW21s&rc|?mifF1!F7oeUtuTS0bK`&}Z+(tcrW!>5NV3|g-7ZQiV}XqGCHH#-9+)743(`< za(Y?k`2KaZX=M4;0WgQ}KngG+oU*)>?oEZOqh9A=hpWKEo^N$axVJ1bNj=mcids1M z$f#Hc@BadbKzF}sqJ$=F85J0`f`}p!MxIY8lESrNiUJh$J^XJ^-nGwxq0^;n#Q>Xs z?Y?zW*_qFEnx*yQp+jTCA}Snh1=I*uI6^;QZsK;S@jeY568cS zA!wp17eke<(kw2Wv*OUPDGgB&bT=e_UAPK0a64Hb5aD6JtmxvQ%h?zz2(r5b?ZZGq z6w#oCA-vV-+^r;g?QM70Wn8z?pxuKo zwxiS8x*FOuE#t)^O?sXrfJN=_Zx!EXi_f;mvbednT0P8*Yxeh2Cd8UE9+sZsybIr{Jm>tS(+cs%@=|S zo`cLrW!o-LZhwUwvq_$*2!~Xy+go?ET8kD|&mj$i;9e55o z+Q!YK%%t?UlOJ>4UMHXcoCd!r^iPW?mOSe4`1&0@TEp8x3FgmIN58X^*Kh4;=6-(v zf)G+64iXm3V)^U7PJ^xv1H_EB;R&wW{qxkq+ZJ*X! zO@JIfcA*R^hpasFft5!D-JLs;yEnvkp~-|z`#yGQ4j|w5CQcO0fV1|VN8(_ zG!DPU6cqr7<370}=?;L586prF<^M&^H=9%@4Y>7{e#rdO(yu>BC%>FW{tzZYF=23y z0{BO-I5^1l8EEY}E+Hrmm|hqo&wm2dY%!?+j-e8NOc8^ObUhz5um#drhlB=mil!>- zRuA76P0Ne5(4!v%j|Myv8$rS-S~E`8iO&e7+zYWF{_3?}S9e8`7F(?XIBKr~hO;S( zu}R+fj0Dp(YelWIv+UZcYqi{#X0FI&#y;p#vnywQFugaUG8bA(3k?F2ATk$**bb(S^Qxg z{H_2!g8Ox6YY4Yd>yHvr;b5CN;EK0L+X(W1v6gOERWr870uPm9)Lo^vJmzQTT3S7r z554xu^PCrn`&(KV4!EpjKX22r zXdW$n$_T;O?!8{o2(Te(`i*y1+Nl1dH@jBV#~bK{Xz$gPQmNeX z^&JLSu&Y*LxCJktw#z3p;Qw7P$PIdIp5oIP~T#%fQBa z_i4M+Qo(aOnHKA;OJv=tp}|#_+H(h*mX)fl5xtBv-Q5cfPxnlZqv2h7sq)`I!u08IY% zIiLX>^pH*8edM&&v7bDy1>3BDmo?l83`ncHvKiH%?6eiEosAv$OSoJ!e3jBXU2h#N zT)mm7_cV$RRvkZ2cfFi@u>-9y0|t-c#Q^C%G+3M0D# zDl@qmyLP#C@9tX2G=-4UQ2NS_RNTPnrjMUQ?n4jop;5IY*}-1`Ue?LHfA-&1ebV+svg zjZx^7k`8t18jD+3r5d7Y+S~jZJLYH`g0`!*MM!)yPoGe!@ZVghKGLa zM4diD3U_?~A>ymD5s!aRL4f>%7jln%@#l}xPdU_s7bH)g?s;&_qm0JW^Bu3$rxzf@ zT7Qf25!erZy>FC}`m@dPmmg`aeEu~HW!{;s*AMa~v{7jJu|fX<)8~l;m$3o@Dwl!P z0V$UR0|J+SC_%z^r;dkPGrGV1>FWLM)t4&*!r_QS%F4l!!YazuBtq>(#8%rd(J?0>_VO{b=ep z{>2Loouyg1FfW|?zCZUgokZOT-Lr_F6z2}oX(7YYZksu>+O7Q8ZUGS#++FXZT;lF zu6BgBDulI8Yr93&GgNC{nAwdxdK(FW_hBT!P~Q5k+T&my6g2AgbKZCEsnzSGLx6Z;w$^CP)$%39TIfJ%^kMz*UJ3J$pP7 zLL-r2B=oa9fI2~n9dQtxA(AAQuxJ$l4oZucC~}C;4%~YgrL1xai2&_?h7$;^>CxEs z#t*(l*Ol4)UbYq=^d4Zc#(~lIWxbq#rEP@oJIlR&_F=J`9!i>Ld@2}9F7zn}wYd_{ zJ48wZiFC@R7qAG5SPoM0*Y_!>aNg=uPAvzW>gSd%Aj4^>iRbWfk>(~W{?H@;PA7b- zc*+VTlc^~vlWD=3B1RUJCt3c^(-o`5-1q#J9qR8v)u;7zW^u++{cN@tdmu7@-ueMt za4K|R3N9!~r%I%ZV{MpVg@LGJW=gP1*pzERQ<-YbR3_B4;5?NyBW7^JRr%x)rrS|C zC~?h?We%F2kM#HbV_}C?{&evDU2r@2_3g+1{{6=H*0oukj&=^mw!-3~XlDu3Y+64b zstU?cEKi2}B|L&EmW2TN*N%68&H?0kawguBJQpfeAOw{O))EYxzr8gzdmt-<|XO zb+5M`)X=^&v6V2@5ky}C#t-(9feXFf)9dy7LtqSu@eg~O8 z*m{*PcdvjB-9O1-c$e8b8a7b8!9rJi!eq5*d?%ejQI-crpR0wf#x|9!@AfYnu+*3O zZaZ7CHJRo9=!eR{%|onzrS8P23|vcLfxni*z_dMbfokoF>`v~1JCjx@k+O}0@npbQ z1oF9ylx#7Fn@>KTm6Zt?SQ&~uyWir!$FT=erYll`Lqt_J# z?xq@o!Cj7?6W|>}Xb2AgWKH>W0%YlwCpyQ{qy-%HoFzEPluQNKCgoh^|6d?`)^9@B-3uw^w2ne0Si}le>qzwEvmN2c4KWmu0 zSHhi!EjS&KsQAtN(91*JbNdB;5fLFsAq~x^TnL%5jOI!*s37=Jg7qaCNE92C`+4OS>`QovYDWonw4z0-UYc#( zx6PL*(dJo?|M2&k{Ve;-Z02MAZ{Z;SXb*BX^3SFHylt_6vp$&sgfRq{vx^cy*^f16 zZq$Oq9pZEXT)-kI0vs59{SPgKLJ+hct=Dz>VBYSs04+nH-i;sc+uk@^R7DxWYne8? znUF1Qcy{AMv`<~{OV>+%C#{zzYwCL!rf_xRLiSJKyYY_)l!1X6*eD+us|UvZJutQ! z7~AZR4J6Wk-MHvzCtWX`RkZ=*MyQ2$fBjp|=4Om#PW^ z(0@^YJT+WM8uFXj)%@e+?R;`GAs`pFh?2uaKK8BUW^#Fjtr*rnS{TtVu=euQ#&THz z|C#l5^2Y>gOt_DY29}RP9}|Jir6FPgtPqTt<12uFV-GKjyiW6m#~_%8r%73C)M#7s znd41&Y0*@AC0dCU4xbSzb<1ubJqr*c)PDl$5ewaW5%>Vo_Y8^wjes~7r5JfQypIO9 zsP7{hctuE(ua@g|TB(uR7NZTXs%XX4B+6qo;J0briZI|{gEb}TN}bw9^?GKzz8Oj; zg{GC&yv(CI-D%XiqP!dBnW{snR-eI`4)keeQai*$7~E$-Xw7e`^D?S=kHbj_!?Th4 z%lZC5mJtbBW$p~$9WNJ!h+OglQ?Nr7$i%MN>)GLe#*LwI)1+JkIq-`qsP@qmwB%8> zg?q0IxhT(zCSUTw?ua(DIuDL(TRObO1<0h$x?SoV;V~-vZCu#SI!+6!Nq=-M7?0T) z*TN*NnHBY#mqXga15X3MN34#kxbqmJ8yr1l;VrLtgqN_4hj{5L9UOg#S2e<`N>_Q` zR|#amX}4%d9$Ms|BeZr=md20t%;8zVFshsKUh&Hjmf%70k@#u8ZTc!1igSo$KSFk2 zrVqf-`Y)RLK3*q~B!&@KsDJcF5fFMz`(*i!>&r+J{jYZl2paOE%48woEvrtPY83HB zPklEHc$ki9W+pb9{5mi8`M_&Z<9V_uQl7=zs9Ntu^*6>)ldL!-vAEKCc9@O^yz6ws zix&TagOW6pRk}0yn(ZjBtpT?!)4c9wJ!u%O8Ch;&2{^Inl?sLOVs(~hVeU7)9> z`aUDV&(^BW7e;=pQ0gO0j7H16_4)(Kl%l|=?XgCS!c<2D#P4P<^i7SLETdcvvZApV3#tDtrF=%ZD7A zz$9Ao%Qy(ER5nrF>-+qW(?B4I2o{PNjw0}Q?MEg1v zB8KUI?tk9IaUlL__in_?#rd(1U)t-db~Jdfc>Vd)yASWXJzXxCh1!fZoo~vEw|vO! zjyE*8OS?25#AfuyjBd?nKPVW@@bY#K8OAb@Kc{# zm!Y=-6PL>o0vDH|>;Wm43lajCf6T)~54VbjISZWL9A+f* zcJ2#9-CX@}MT}x(t{}_3EG#lYQNfrMVVc7VC1Dz6 zTESmPLUwI4%4QNJ2$m3k-?z0Mb-ma`iGL^CX)r7NfGYxyQ6;ryw~#)~f5VhA52O#% zBL1WX87P@0PKyPNoJL-pkdUOGB0;<;$-5zTL?0=|0M6!k3eJGU{!u*eQtV`HsT}!WBx(2hIUa=` z%ugeSz_L(MX%20NuRkF|aD1Vu1;J5N-6lvGA^vb|?=3eu7)jJ?9MxhN_`yiQn^F(N zgB-106o=Gs2q32S@}PJ9`}?;qU%%P}ECp>lXXddr)T&YRsMDPxe}CqfIjK_iVmG$^ zH^>ezOJqg(Tb)9NOm z3DAc%Sx@zReeR0Ae?(uLn3=amKp1Y<4c|MaJs-tzsZ7(fQ?oNfaE~6zX5X&HPw5Dj zeQP7M7j-lmX_<{ZZ-%bzM{BiV(`*2dz4fNN6@f5vj7tVKJS<6E(Bdt<$W zypxT&TT{16@OqwE}J+=#yLSj`*EEoR1rq4lUOChVtsh^HE? zGU^YqmK8U9Fuv7uRUbr5X<_B;Fb*%30a!pYr!k#TOq8Q%sLX_PL}OM!Xh=TLCYUoP%fkc!gphX|1|`~Vho%8Gf50E` zFj@xof5#gX{Bc#L)vIi6LC}(VaO6lsbJHstT;WLLG(8p#)>y21I2&61tXs`g&n`qz zt1C>m!nP0X7RiQASZGF=>8$<(%~PU7I4S%ce{b|a3xeZZ1v4zE5D0qFo%~y@`Ka*q z6Iw3Sqnz3{cy+r;Fzi&_z!j}j;lFM)9j;3+E^VFJbMWanstk)S*6#sJ;KCAz;CcZp zn)0`?{p}RHXSbrD&|$hO4iOdT09Rc`kuJSzqK%)Nr9r5PA&eHrE>)g;1_W=cOH}(R zf1A<0Ir&Pg`q^x3&kj`W!Gw|7v2J0qu&@a)JvY0iF4QJU*)pZhqvgi|8&6_`_}d2n z(?F!FR_%Ls_}xi2zN+F^mkqe-`=SD{GhxqaVIhr$DO9mf_Md5rsqLu__8BCsB0wQe z=;tW1`bFWqqm6Qi<=7Oye;DLpYMYr1e-5vOv-PMXEQ^vYi!v7HMNY~jBm0aMoN*ZC zi*{F&zd_KX4%5`O0~X=TC|g+e^`Ei$=UNi|iC40;2&$_d?GTf;19s{Q$zSJj4bZ&| z#$Fo8hWO54ErY}X1jK1!5F^}U=>VaEcz3o*ie{bE>OBBlQjpBquUuRMtn_dVfAlAv zewGNHz7Vu1Aj+P1At(djMxJ#cojvEtuwnXNf2hCw=K)B>QAi;(x~>-5@EF1AAg-E9)h5gu zQ8jsoUli4!2Q|pbXdLB`6VAo_1CiuZwU=>X0uz_U83GrVfz<&imj)UFlYb~czG=o9 zL$6uhT>X6Z=IZS4GXip9hZs2+5?DA%d3Jq+ofP_ib}*u0;Oz9Q(qTM+cj2tgemlcf zr+W+@AWorroAH@-{mGB3w2}VFXhqDkd?mvcs9nO*OKS1yqMI;DLfv}1w1kTxW z6zPqE#|{VEP92UJ^8C;^{C_)ei03bwYSYxd6wiwr!tJOQxbOkky^i_FdM_2DJ?K%(Pe)L8OcYeX?$fwHW429T=`cqLr#QAvf zx-QEIr2ZwZr0MyvQ6b#rb=5wM!Jc-WhbTWSeyH!k1o1feJsu(fk#a18P6DyYD2!0> zZyrOOKxVTX-?q`Me1AC;N7ruF`_grR5$pWce7^qh{^H%+VayU`_3qC%LtkYYzg~WL z^=74=?g+@!K*tF!uib7!xnccozS{*dw&4Duq;=QzsiCSnGk3-ihiWffN+6Ch`6Wtal9#=_W=x0s z+9rrhK9ok=tXF1avwEXr^0=~Y_QEC#HVbnb#P?>(Bys!5qoki; zy)$g2Q^ClU4snTtTB8g-;GSR5;6brLxz%2QJTx>3 zz)piJG`^lRL4y3p$S`SZx7KxvN@nUEnOB>ao5Gebe__h{wysjwOA0<}Y)@Sm2+|g% zi;u15yN2stn8tp|;0CibA4yalgE5;}A-b%ow|}>p`M6vvM%ZMBW{NSF5dkhw5P>7j|oi}jXUD{G^#W5!|dS@$Yr7TQ$3gXZmCEpF9j zoh+>t+6^3C>-{ikm*t6?VO7jiIC$YS?)8rviyak3t*o?LQcxxBEp9J)OE!I;De|`Kld2op zOM>ut8b_&51K2P2<8>Hg2{)REVG$<5bavw7Bw>=RML>g?CzPx?j^YqgFNqWG&9icR z%lHFs626WlRf*_WQjMr+YtynU>$?xAqklRr(NxTbp)R2m<7J7Ot`a={%zX#!77GJjve+{X;;fHMjs|y?164W&$^Ms!osbp)@&&>gS~4N4(ECqS!yp`<~DfPVxTN zL-dLOLMXodzbisv5etrZ|KD?URXOkK^L1WG)ZPAL`UOqml!_pZu@`We5)uh45&&Z& zj){DlEgvjBOqI}$kINZ4tOst52!B!5oqQ%6Fh*RQ_9rIr5RmE=GJHLPRRaJh^S?P2 zf)HxkqiJ9bPRdSa#UR4r-)f&hP5r-rR?q%;XJFV~1CIkW7-!+)brx;(k8)d$LRC(o zqE$nEMXP_ZAO5G;l_0$TSPE6*+NG<#Vp*%v%0q?z1Ir24MhazaWOHIW)yB%+e;Fqcq zX63iNQb|^A(_hLIr(uk#mmnM>#$J^x0nw9alx;>M#l)j24S!=Ay+#9h6enSfvI`m! zqajYy>xfuo6pfUAl`_uIGlPSTX9kbcEMy>~Hu%u<2k{;}O(MT*^1T_|2is3>6y$AF zRu5*n=km1kG>#s}(Z_LAkE7dhRDM#f^I{SvG4le9!z_x7Aosza3oJHf&}rQTLV1E&L_VTQ4b z$T1w%ty>^qMt2==`ciae_J^$MN*OLJ?9cOn-R*v{C+ z$vCx3yVqg+vJ%1eouL&3TTufDV~UX9Z`*_8RrOX5y{$$&(JSm)Lp6mNi04W!sdx$F zRlt&QI5irtrRbD3o-FY*w@wKa6=*Np?zqVFY19hLvuRbL)jX>v?@ZoRyJl|!2u&ll zG#*D9kAFiYr1cN@=8F`Z6dy+lJpiPUdWJyso^R?ifLQZ%?L!CVwGJQTepohYJ(8}g zUW&$&o=p6*wM0Sbc2_kIOAI^lH~)@=hIvH?$VDJ&TB zx>CV2cdxy!hhUFop5@5X9amOAyJ|;WyK`FXT7PL*jS0l4JIr`751?K&g|f#I|4A+! zCHa$A^%TAsfvLewva51=q1$NEnezP}g1W4nfi$5d)Xnp*jYr-z?a<`H=>%cp0C zoKf4%=yrLJ+q?_lddCJx1EZe`E%MMH4QE+m2lhsZ@*t$mm zV$hoZp?Vra@CEC;XAsDyipiLSbynazb!mN@POCVaBv7dkAf-wC(;S)A9Z^Uqb_e<~ zZS|x6&9K`z@h>cJDr`aw^iW8kiiA=K-hYt}HZB%F{Aem@qZm;F1URE%MBv3{D2!eC zLqmAR=N!J8lXo9PVE?g#DkSxvnBpT{^53X3X*9ia`%Dn2AaZm!ySRw9M^2$L=H^_4}hCi~-zY zXOcGLgb+g)GQ+Qy;z|8cXrc)FAJk3vghSj?Y!Rk5l~@!J|EUs6rh{4Sgd95EOf{k~ z2S)p-ee)!O30Ru=w=1GrEpv>U1ApZJjSR3onpK@Ec@8Co7R)g*>Zt=g)HStP?%P_M zTuaJy38zmCotTTv>%LuzV97tPbQ`GKCT>1;+kUnS(tM@C8UUSOg=t1Jg=vTk4fOLx z19WxK8m8aQfNeMD5YafDHGE1|fHSo{>>p6VO@#g78S)FbXK&x-%V^P;iGMA(m4%09 zHI?ew%-ZIpWME4YgPlg_KeaT6)H}PVAIRf)=&*%gffHLZwb{H9y)|a%%sk>>A-k~L zTl2ldJS;lQzkjitB~ctEX>wIJOK2Jbo!1*tNFE7&v6sDqgi{14u-7TPsgos4Ju3zb z|8PC*^Fm2F))X1T8&O1pkbe}oiHN5t$;zCj8=U7QE$65420_5loJ1wgNzPH6a#o@g zZBoWKM#~U|gq|Ur&~J4yp#jpg0LJbW=oth%Jo6b!UO=@;A+I0^@&bi-_ZkG@jD+bm z_<1t{tAx6rW!X1J56&{U#FpWc@F>FIbu<4vuj9v z`8wYZs*d~a6=2vOx_+}r5b9+(^XkQGp%!;-VMqI33`HC0C*x(;<2VY_B)ZP^ED7oB zKc{`s`EMuT6aflA?c2LQg2D@@*SJ4;{<5QWSx8X=6@R(XZE7ve!l^S*v;QK>YI{^w zZ0c(gMBAAf1lF6S>VJ^MAyHMS;rG`%>|C+4K0R0K7s^J4;j+7{N)Cek&0r-Q3ph2& zd7}oYKlE12F{P;qbV~O6!3 zfu(zJ;X-koKCt$Qe>o%&JVP!YH8SWzpkD;~*71wCCLvgNcv@?sxS(swqVjgGam9P8JcH|v{M?*i9KQ5t!X zwcc8>XT?E+g4DOxx%IGEk!85(Xx0~fxwO4FS=_;&vk=>drRywe_9P$NcS}25;Eex1 z@t>dM{)!X?w?r;~9F(9?k{f{`zF9u5f4jL|-#p*AAeUpgQjP${PHbi6&BLQ(<*@&o z7w< zIF=vB$WOuxh%oSxn)re>Bv_P?e$X9{D-3!We~q zVA-yV(lC@VKiIi>tuz#t1TKpDK=Lnp+zz51B+(L3CU4F5=lN~B?Q&Yl)&2UH4@wm0 zTnheP-FN1H#gCuX6AZ$bd3L*64bNT(YiVtC%}LwQ3U{NetS(Dj<&v?jjo|qxbzL2+ zCQ$hoD^k`Sk@W46WIacd%TFCG!0uWH0U|M)x~2R*TqxVIU0;Hi+MmVCu7iTeooYRj z6~2M9x7d>-&Phf~jlwN2sd_8_ySN`HF41q#%=sUv~wrE9s}i+k*urPX_n`U(F%^-rsjEB@T677WSZtr<+ITdnP5B{ zjOU(zl1_OYJpPXekwRz;Lc?g)**X&}!FQpv5S!B+ac0x$p%e0N>wjza!f=d^=bHX#gW@(_3t*O2dMIY$r0 zS*-JUz>NW{Y8zKo-B+0g%)y-S&8v5bKdKOauJ522%7Y^j{v%(R6p;{lzJA_mKWJ#N zQo9YScMPjz+jf|BDrWrr4h;+I|%CJ|iQI!rZdDJP%4^;(PxTNv?jOHMOZVg`?`rjZUH$rDJHHkqdYZ@}Prc_^83_-0PlgUzFFy;rjpt03ZdCm@%jCeMJsdgmv9BjfPi zF90~@0*-WPK{tW%#h7qlBHo<91u05GYN8KnK(ltljY(77>6eRad|x+vslZ)HWW|4SY!2A_m z%*gOf&(t6W!~j;+*`8!iW`h{&)=+P+P2L;a=k~TRmw7J&8-JX(O@U7~&T}?Yz>#^N zKaq>~e+|2v!{uIgR5N7$ro4K&pggt3e7+mq$0*(mk9iXUiKYa*WKVr_v7Ps2bB&LB zScz#y~1cvVet9Aq25d4-G|lhpI@(Tzr25a|9;>B zX78ZEQ;oS^U4PRE^vU~d7(w`Az~ZA)F-%RP6S+Sy@}m0~nXuy%ldG9K z(+s~Aqk7Lqv#V)4wv?3zth-iKK#^Rn&1f?jp~PsU9-MeF9k-L&9#^~BdF2H&TKBDP zdOKc2+cRmzbk;Y6Va_@`p_G#DgKqk<)~RUqxLW~Gp?_!YQG{M;|NAD#dP*?YL{rOX z!^wKo5pyXp6rE|kgL)G~jpJ22f#fL4od=DN%8Gx&7z2qAjL>r>dF>IE8U8ETX>@Yc z;2p1R1U_(QySMX8>@a|y?O)$v&)&xeP&8a6sF#2oK@_Bmw|m@(`*VwBRW{QrL$^X5x^dVk`LR4dTRiDID8-zy41EtjFU0TZ`FF#_TUm%$1G zDVI(<0*rrVXnOo}!!X#H<=6G+#rb;iePID}NVBwn^5L2R3beRbeEEvB1m-_$$aJDm zJFs1a=K3N0=i2S!j|CEfp`n0Is0C&eAd3pOpw*xNV-^fF8Rkm5#kpvj? zke=36!N2x3S=yfdkX2nHX7HBI5IANrt|hq<_kMq9`j(>s_og4YFG>)Akb$S97-58# zty#!19seZ=r1{7-J;ynM@Lb2VK;WOj5TZ~B&;JognEt_xy@SEP4_)BM=BnRUP1a^r ziF0rQP%5ssT|vsW`ITxGNSdy1X@+H)k>|-HHF!+qf+ZSKXYwSUreB900h_VU)peDK z&#ZqD6xtTYJFqjwHzWbuF(ZrPS9{9P3k(>$cO1$vb|l{~4c|e)%dR@`>2@b+@n!l| zkfy;~!3r)D{y`qImXSfvC}fI4R;HDpaH}XJVc@Qic(tDF;Vnv3ytYX3eBFxS(-^H#%z!WA|%h!F>P)} z+=B0LkuA$J5hR}xJ5@dQwkl6ZEhWR{NZpcyp>)P1D#&&)MvSO|g{WE^=~*KY<0*d$ zM=+`6cVHzd!?AqAtA?)h3cp<~B2#`0`4seV-jceUafzfllzD}PmhM)+P1@fmD=v3sZy9AK&$J!6F5FJwjubU!k*iJGy3DhZ2(>t# z8HLd^UWxKm^4Qim20Cs4<8wJWroev-5#y#ROA@Q-8>BYH9E}-i(1uK^>%0%lhDbIj zrsV}*Zy*(hgB=shNx}oS7$%W=K2U_iP56+^U#E)G7@CLLh-umu`RkO<2$`!Gs6GiD z@zg%WYL?HWDr1AY#7J}8?D$qX{>I0%?B9}hD%9vv{#7fdhH@mMjbdiyc9?%YO+Gjy zDem&gVjxpVWLrK$FGbz8(pXv51(s2Fd&nSb9aj#S5C@y}f;!cdmevZpEn^XDuK};G zPiIi2jW-H)lXs-8s&;2k?_tS%-PPqWxZ0=+)fBrYQ^08|-283Guzd=8KLNeRSuJw$ zP1jBpX{nQEMCd`~5wpra6DWU*a*{Rs91Ew+&Dra~Ckxkup}& z_3NXI^t$gEn?rv#2tl?JXv_{-B5m^@uodU+qiFn+lR|L5{!2Eh2bq7QB?Y$WM@clI zK}jJ!vtt1@4_sGpqC85i&0{OO1S7Lp_Pkl*dYI#waHmyIv`>Ank@Q9+!+DB??4b@q zrjGABP^{xKYw-a+dVYSh`u%F0eQ3s?HoQPfx?(R1@EQA@LxRfNR5^K(wL`Wi0_+aD z7TGtbR-dmAC`^Ifr~rT8cbi-k6{MdHLv64E*+brWD&Y)Gwk?$*?#a`Pch+6g8gy9( zDh<&_Ww4%t+N1h&di0rfhnWuAOIi+j7cfa&HK5FKZXo>$JkaB|#>GUN`uc?_?Qa31 zkke?m=!^Qe8&H57NW~3wIQv=KNUy0C1=f)<=1(S13wyV_N|t|uap702ObK$Y$S~D2 zG9O7v`k)d$en}29CV!*KZWSepAnus|x2s)>B>!o3_2-Ax`M1jtx0k)Cgd&WcGSg_| zeEW4au5hF4)Y#HH*~ZP?Q~;+1PZn<1k65+487<0QZ_wgS#z5~>lj#TiJn7=z>G^<_ z@SEY%&yiCC(v*KY=yTc9I~L3jChQZ(KF;T#RUlTrLMjWTp@dHq>|N4ylob^>~L<* zKWoCB*>g?XfAgE{@gp-pxVC9o;t9|(ZMX#aZlr%I#oP`p*?JXF80207qhem~h;c1WpGF z7nAo#D+H0xqa?IuV5uKPDVc%$T!K@ixTrRrocsL$vOf06|1 z%Xm|868h-KwEL;E&hT+YR02*P9B6x#(>6#4SHW{XO_S+WXfMkn<1#sSi?;bMnMrbKOk;mvL`GVd%{jp^)1aA%OcyB*7}_+)Ot^oZ6nP?M`PV~M*6w4 z!{S{DMpc8^yA}Ob_C&na_iA{Ee-VxVgaxRrsWkItrN{u2X#lqM`RH@N(D*Vg1O zMWrYTVRqNGloL8p_uAPa_jVB)xj-;%m0_?OaVo~>m)i6AiRZz3AsTuZbrJ?a5OZx~vslq?zvmt=T>O*zxK1(w0WMyVGyY^|b(lFr?ze{#+?lnwTa zG=|W!>#3h}Q-_wCOU#V%ZAv5MVOkplMnS_s!o~)!g`$m_Q{x?odRG~C+7EFNqw2uz zkR##wB1fXM#)z?6o%;_=T{?7Zt@`)NdY5E=5^xA3?#B=-U#fX2ltrBmsP0X8WI^b} zVeE%rEStq&_x!vSod2Mra#Pa-xCINscKa~tMP7aMu8qyvkUk5%YnnYN#x^Blpqn%?Q~W{(9vq%v4Rgt!CttNRkh4~sxw6Y5KVj(+ zDoo7!)rj#NlX@I1f5{*$Jq{Q!w7@j_KEU*@MkEep2Q%4c%7h5&_gEx)4OdMA@cv+5 zjoodb|60ttJ_kqeB05te014TG1PF0wR?XT3ebU^q6vNBTlvW5&Q%8ei&9epR4A`3b z28orFeb`cHKvSXMMj*!Io8VF#=?aTF*`s}hAo&LOF0Nt*+Pl7_%^52=Lya2bM9x! z(Ov!E`#9{add0(OrO{Dkr$j~1A8?^1XBZA$E6j* z9s#-gAwRTHH>Lc1GYtF3hj(nQp9%dmiBGz{2pYXdG*?sNK~ZSk(s@Yek8-6%R80#)LHReH|?~dyWFD#QIo4_Dq+dV^K$EX;Ot`!h9w`% z&wUK8KL(8PZ(Soa$KEJoIA7q9QyIc)SYTqOf9yWcTqjD^&PCPoS3L6jb3kJ=v@rK+ zP4jj`!CB(-Ap53_>%m ze;hWg&Kf7g!yCkqlBa#nMI_&h{mQ#t{&@F%y9_z|{rMf>j^g?8ANR}Wh-Z25{^wsj zwPwsiUTjWCzmsRW2SFUNS=v$zVx@+DLY~d4E;{{f;)Jfj4>~i3?1y%D!8Divd_aT0 zW!gzm>kp=Ddj97z|daxgVd1Vgn#-C6ZBOJn72Nso%^V1{p_?!dNiM3 zlj!hCD0XW(Y}>M}+RdJp1XeB$MOsz%G`E9RwiyU1z#go;mQ$@>y>Vxly;y7vTeirD z05Fzmnmy)XD_(831Ffy>&T8Bc2rY?*Y8tFS^93pFVqMLm<5EE;DaWNquE`8F3b^X(8TXWI^ED7ojN|56ZX65i!dvvA3}hyEYt{I49{slfQh zB1II?&9!`I2l@*F#gJ?mfX3Q4#k-UlBhN-p#_h9nSPf4(l{&vSu|J^H2>x zz(9wVycsb%EXqe*nAfAR9L9kUrCyjw_8Hlqe!`dS`jqGovpz?*~^mgMSAM zgrgqwLL-MyLK=F>YH<67dJCYx_b3sO@-}wY%JTySW9H2Ve}50CQ*1X926|D#17S`D z%qwBx330YJ1!E+Vk{er?>lr0fglGmqe8R1iFTcjS9ZO1kEE-pCbavd1m>gC$Y}0PX zT$k4BU(-Z)q?lX_b>Kqkjkyo^NtF6sUKv)kju&v8`@`ZMMi9c$`h6@%_OZISGj z?~0-(X!^4qeiUEe8cGbl#)1*$fYo)j9B$Huewoh$=YMnSVt(i$e&`szK++Rm3%I*p z_V|qf`$$EtQ`YIa$8k)OAY$zvixM@gm%5K%L^u!60D_RaJIewf3dyF7S1A4_1vlB* zszBZFD@z|oCOz$aC`_WkwIYe@R{u1|F~*@WK=S2qY&K<2tOmd+a^$v-!Af?$$PeQJ zbnwPZ34cO;a53f*lzy{J6T=9O2`Yvw9RuU1c9CF0wKHM0tpMbGrV$Y_Gq(>7Vff}n zZ6@66YY6dOrjb$?NYN%3zL~JlNmIMU6o5VUBL?Zh?Ovu8?oS|ZgD(Y>dpsfCZLQMP zx;3>~sJ5#t%^zDneeUNqM|Iy@BPo(w~x z#3>fAS&>jYVIX~o6{RlV(5ewCLV5HfY8+QXTS`0bh7YNHqNwk1-7LUy5)7T?IF2hE ze}Dc=B{i|K#BYNPATj)!G*vmV!8y50^GOe6FcC)7W!8V7IgywF!GTz#toyZ_C8dr{ z3^=O8K)0R%;z_QKZ$4N7Yhzj^Vlx=1?6zASX zU6vIla5q?}jp#*Q>%2aJNJ+ub{31jOsDIa#s?&ht0s@sk56X*}IAshE{+&kfMYx1a z%0ibaZa?N}{l(@I+D#(A=_)DHwW$Ep*}h^LP=3($#`eGFV_wIJoBkH-71WC!W^e9m zT~1d`R;OcAa|pB{54vu*nzYB5lW2~>=@sfHyvS60Gj0veZO}9>s*WTr9QjjvoqytN zp$+ru)d}tys|jWhkNF5?s2>$KfjyNr;63(`1CZPDqd zwMrkB?y60k*SllSbB`BJpxDW^EXumoA&a*-z0>zase3J!Rvqp^hkFHXt6P=t95WaE zuTMyI^-U*pbM~)NPi-QrGOgFR$Qo$h$(!w3Pi>vmeaka#Wtqm=Cf-&jcFc7$m(ouH zFMqvwyX}vI(`o%}o2Z+z&D{l#rCw)o(wC_rIY7VGrrBdvkM0X3;ZmN+$qm*BNe_B# z_}G}{!D6!`l&vOB-d8`M7)SmYFCw2Eyod<4J6ypVLI|*H2#peVP#Hxa4_@4PxS_?m zubv(=aVY;kBu=Z{^M#0q;P)`Rs1R`pmw)=fCB4xFELuf?LvWhIi;55rDDnAmZ-l8N zsk4K0v+s&dM9JYR@H~X}J$%nW3llz(`*+BB@O5{a*YvAyfSiC3hwj=}mqCa^Z_Lz1 z5PCU_RuOojQ1<`#F`U7nHt{}-A)VuU@DM~hzQ_N)NywPN*?+w~{Vx$%_?$4t_b-2+ zjmCi=4d0pSYTk1OYG1LT?f=i~*CIEq_d`?KzX;s}Tv0`bf*lM0A1d|_ikER>0uz@) zQ3B6@N)WTtC&R;>89vTG4zA~ezXt?xVUJiGA&PPAW$VGiBldEjfAlb-Y2xk7uC*6N z35qSgSL4bPkaGfe>nJWHTx z*zLXJ8=4%k!rmwd;U;D|T^7n6EV+`;L&|)AQ49m-?}5nl5P~YVc9HSYPPRsEECda; z>)Otmy*}Iw38+x4b4NVJW+<|BTe#a&E$qlG-!2>bYbSGex+(=4j%b+p^ObDuiJd;c zhZMKS)ynPOt*Sz_K-6|~BFmHemjhR=IISTv@h&rxim@{(Uc)VNmn-jK#5Yl zdl@HDf+9k_hy{qH(b-suA)I1%h?fq3kAwtXNFg|bYZZ>~aBdKgq>{ZwrMKua`o#`vQtm;?T^jC-nmQ#a?o|u;X5TrwKi& zx22t&psXDElf033mJ7|ix#)0@I^3(;jo_el=agNj6<2mv3X$7cQ>}%aiEparXRs^T z?o^c>C8%HWLN?;!M69)3uH02SUaG^f*SYiD0pbqVs;X5hL&5iU`Xm-rEqXO3n={-S zu$pQ`esauQE_iq5-1Rq+&GgxSKWZ@<}Q(VHTt!eE*3 zV#oK*nH@8cO=#c$1E$IJZuaHs_U_Av+o{QA7S?EVl+sRp^tJ@%Me*2&{1aG8kdQWu9%1fb96{j@*qMyG;RjM>M^|-!UFEP)zBfBS z0;_RbIrf&2%UWcr>d-!8hQ-p~Qlk#>{$zHDq6v|JW#&4`Dar(r28mgua<+i z@MuK=7L5G#VnE?g5L%6Y+hERA(FTE4x5*zSvyW;~RM0~8MAVtHCKO$&KHn|0G2zPa29c2cAd1xoGYkv+t^fIsrL>AL6vAxk`fS0%+`a|%9qmZh$ z4#3LvfX}*b=>RG!N06mM*qnsnX>44$$g0k`bC93_fV{<>gEU5e@%gUeKi&B)Je(++ zMH)mu-=9f@qBa{h+uW5|Fo!G;-YH7{RESXlR%@NqSi@Q32mRY}>v!-2*wa@XgRqPK z_oJ@&i53QkfI$sB8i4nNQJDJgSA1h0lmM)@Z#4=zS^v6ktecw0=;?b_cT0NwYZ$k+ zKtJ>LI)WO*ZG9>R(7)%*$IzE?VgeJlp;iL=3zw1lFe;bDVgiwWg`d*v-qNt&Y=6J| z@#fXt&8M3X?iF|;-6QeyAor^F=Hu^yR|EVm^wM&&K<3^Y5Er&Ql&- z@Dv7q5a+~GC%2)!eT=uq_XoVdcdz4O_EjAEX%GQP`wjAvL>n~_W){yd!`KhQ%wZ~n zr~xpwi{f%KXx*%TQBVA$be{~GKG~0|sR?<(Kpgu)7*5zI^`jn*=xRPoALy_Z&dkc; zMd3$b?CAO1T@3i|5MAQ8DeBY^#YZ*3Q3DHVMa1>BBZ*D&|MR-h`8{}h=?bCv?Tx4$9NxiX%KAQXwjGjsSBn+ z7ZIGB^1*l862t<6C`yc3yQoAnqV=Py&lU%8aLRpuBWaHe1Ay|kAkHcURzMrp-2q<9 zQ=?I(PyB%)_(>b1fD9T~3Ft>ANa}AIYeJ8pazeki{8)^mKoyd8(dJ&tj`l_)`91?P zb3elE8RX8WB^YH#K!_?Urg@9(N=^y?ypUr)&n02zCm`5enEPeS`Q$fH?W!6tVk)Gd zY>JP6ug3<2Oma$5$daX;vL@gOZqD9d^k~hxl5TwfYllmV9&Oq|K^d?D*9O85UowXX zm=E(W2muLZn|3tTv2c}=p#W61;5aR)-U>fWZCF9Yra2o3AUR~mRZ1!X2*uU0Bj{*I z>9C=@$?R~&%%_(5ipk9wml#Tua&vdI=?O1?#?Ok5P{505*7QwR0l9T9%=0Cj)u0l{ z?C>bnh`nmm@U+dS0zg^I%6&Sp4w-kL(TmQU;D%krTQ-@^shcOHOwyID|0R>##o+Bl z_BYtvzl1fS`r2|Du}x6&;Ea-krMU030SPM8eyb%V3rkAaIN*7(PCzP$^N*r;cVh&9 zdkOoYs%-Lg76yCfD4J$T@9ag%GAl7PdOT8yfH%<7y}c_ft(M?4LL)L^Wv^(c6 zw3ZdKY6N{piSPwm?>J@JG-lU^+$;t`kY3bEPsoyO${q^4jq0S^Y;`SLS|lK=u0G19 zrj@n0N~%BRXJfwaC1bp@DIRG9A58FnSG<^dZU6EYlq#`^u&fNn&#)>RTm5FSt68#$ zYZd@i!ct*Rf1+JMd$qb(gXInvBn8+dCHGRa=+$|MwmP>3KxswIN1kg2q#BuZT|3H$>5lMv*(ktq8Y_a!&;tABdLMF{!9w{4>Hw3 zgoiR!)@RH7Hfs-y!X?6xyFUcEkR}>hUn-|qsfrM~uw)|HLPj22L;F-7;9ITf+3A7s z7Q+SHndz(8ER@4{I?#tKlFwE4aa^FBppZz*D`UdVv(?6sk5K}D2&Fa=1&u4rgt#kc zNu>x~kD7ouEo~~d$V>_w5IUW52Evwt#ND?aex@y3`Vs8lgh4$6f-vbBKFFqg1Y)tU zR+;p43{|#8zECHw8wz1XhEpNSJkGOt&*i3^@;^LhMJs%QX+a@1Tf&stOgDfl@#O#F zmmr( zM}R)L?rzN-(4Xx%o=(lNOoYIMuOZYj1Ho)h2hT+q*a4;$Jz!o0FvP|AwxQiwU4REj zf-WZ@xoiD%TMJ4@8}mK69c);x{@>^c$Eg`a6G}3>7`E zrr~Zz1!F{iVu|LlfXBN|PEmfvJ<=W(kCsC>2%eE-q-&>-s5M_{DnKIKc~1TaUC1=l z38rz39Ygc%>rt8Gc__mOlidVYb~Dx0>4mPJDOtY~u4Z(zp1+W)?~XjX=%I|X8ADQ( zPLi|DIaT3^<3M{?1DBM4vPm|NZb&sq{>a97*m)0s-M#K!&~Sr(u7O@tJZvKx3nkp5 zCblEx5sky63ORAjC_=g#l}D+iwm-l`o0p$SR`+&L*^9PyQ~BthciiwQRihRie<(4p2@y`n)kz%Hp5>j+2gQuQ6!E? zRtQ3W=f>x32r>ts%X$3BR#;)VnDkq0rm+kt_{DP8NoX8^@ztWi^TKO)X%g~mm#$4Z z=6kQz>2!THOFcD>45y+XDW;+T!1I|8>|9T80OxWfnY;W4ADr}nEd|X7bF?oTykMur zAHjHB+4NG>Pqd(P*|Br5w_^=`9**Yh)CH!0u;DY)xAEj=)YM~gfS=-Jr|U1c1{68Q zjicSqZT|>^z!Nvcz~R{^L8=fp`6%_ zclqV!r(fJ%0q`Got`nrm?ulkyb}CAst#%)7{(0ld#cb<%a4*;KqX1V$-mZgz74XL^ zDLmx_D)NyQc)S}sO2teD7$F@0_#wNWj-l)B@9Uv!iZLI`re-qyg`3w|e_!U@eRuG~ z{aDkStSX1++0n1w1<8bK?(PVDOG97yg=@8CSP=XBvgbDArq;l>AVj{E^+$&F2M<^Q ztOL$|63|!{<6M3`AFFK8b^d&ah|9_i_Em$MKH>4lQ&tyGWigz2MOD_H zdgpMA()5e?4e~t*Aa6Qte^3Vsym}YAlZ&fBIZ+w{0qEiv-S^qYgM!_0^6qHHkDdqQ z33*o2mAW4Aws1Gvb4JV{a#*Bja{r9TGHvt)E})d!-vgF@5);_YZbpzU0~tV;z;xf+H;>$EkOjE*S)c=)hId1a_F?y);UGs~3FvtEGSC&e zI8)GtZfnF0t;itbwI&)uZ)mtZ$@Bp*>|3G{vO#8%uzkiK-7$oTR>`V!)5^|eE!bg$ zGIha8u<&e`=TKpK`%q< zk-|{#nW4xqGy~=g0F{N#xJT^4rA5(!yxX1Xf;*N@GVrNpt*m*+$F319?%y9$Hqh|# z@a_A>KL2|29!$HtcVu=gN|4F;#=Sr=ei{Ur)#bCJ8>OX zc}5pevccI5Wu2i}>^GDb+?E@e`KYa-zrU*uY2nPQZL2cR#PkAS?8yQm3duC`IdO1dIT7?!l14yJq#Blz7~!=+jt0&Brq*)(j`h<6L+-iWoNN~|f+%W4f^b3t zmP9L{xh`2Fe`I<#WVhU9zCy>y!$OF+cPToDr2%dAnVRqezXIjx-XJE%im6xd zmZB>#KJYL&WI&wxE(e2W9L@DUe1Av2Z%g$3knmIXe{jW`oCc`d^-w-O%f@QYRwnDR zd@y(k-SU3AR0;c_v!EVWAn2c1cDzKIiR@Re}E-o)1-qhCx>fH40Pu1eeJE!;6y+| z13wTF;$k_1KBk-I5D~-j%cTK3qveE?G3maQ5M0I-hhCnE%kRh5Iz%42Wc6h634~i{ z3124k=}QbHvRXG|U!h7rZ(SYK^J;Bfv#_=RLV|v5bSR3^gy3)kl=7z%=QviesTLE?z4FDU_0C=slPM{D5!$_8YQ<1lu5GoE^l?C~&a z;Z49+F<{Ah06~f_3fNLk4Iq|5e_T(2TL4BvqF`D82C*Hm4uM~}h?%i-1hX49MnT%M z5n$XOsg0exw;vqo)syk1HA7fW1jinUJ)o4CJI*7N4Qg{5KEEml-JVIM5{}jY(29(# zOlq!gtX#x<>iDsk4 zkCMFxd)Qz!$Y}yjLRrH0?Nwvp>)WNqDz$%8qCL_);d{{Vi&;ArZURV@4L?iYh@(x- z8_o~$!_Z540%x=Fn3fYJ!rnq4dj`Fy0e}C6MUoKig?lPy8 z#-jowMm@)hLxt2^M@;|&zj7JtNd>?YwN0(s;>wPNyDTJ6zsBK~+ma*pz-eu(pooc> z9NcgmnoCBU!Ot135zu7=6Io+YdAnuXY@q9+=YW`9V#08`xMv8*a2)fvk)`%J$AdYi ztS`wadx^y00HpfafAQS3{5dbXNtl{9O;=1T5P+s(9txVuCkL@1KzwyoW)N~3Z!(B#ksOZ7mUjyX+ zy{WjcSEPu4TH?<7MNoQg=~-)Gtr39M8hj-5pyx-dlE**re8vxSL?>S&n*bt&D6sEy zj`#n0p?jnIS(W)q4{va6Bz&{wHsvj9u}VY$J5o4Re@3i)fXO&y{EFblggjS*@$I=q3X9zvsMC{wI0qw5cnXEb)?1 zk!3bEcH)Z41JK+E1#lcaL zjqyHkf9(1N0r27aUOXqm11t41d`ukIwL4R~1Ii2toHSoC- z5I{ziRnt-ky}I%^mB%rzptoq4X(MHPhhz}=f4tu?GZ;04+Yv&gocrqpE{vi{N(xiN zz{5t8hysipjc*E3IJV*i4s&^&(2}#4?v(H&j&CTYC zN@(AH`{w)gKD5P4aXmfV8wVBp<~lK22wMt@`7IV(Fd+q(j&Zi-L~JTrv6vbDs{p?y zf8)%htBW?pTlD_vEDrD7RK?N<<&CM)KyX?Y91y?gfL6@^g5iK*$qAB3EQkLmEXVsQ z;}`e;#cSEBFD|c#$8tJ8}Wr zx*51LXNF++ovzU|h#pdkxq(y|0%`snQoRrx?ic%ux&-IPO1yxwUO-K~0Dzage}?h0 zo+i#08=d$RnAe5bhXWK}P6)($OWeV_MDUFlFl8QzVfbpX5N!XhAunCHzJ#!BSdep- zb+QNC`j<**->J0B;mF(*s#ACSC0%k^jLWX2;7&GK|G#A;UZ4HHCA9xe=elZ%@Lo~2mh82OAZcD;O$xnF@mfL?{T}J-L z#|z@@TX8-KGAol7(6eBj>kZTx#8Inx6(==-l)wqXg&MqAI1ab6_DRw73cU;V$y^&dfD3*|eW=U;QH6~i%7=^y;_ zB7pG^5<$2``ah}XBes`uVgeMG!g~T1mw`b7DVG6!0+D}y?k7Av5Dwb2|NZXQo1gD) z{{PugWs{#J&F7Q>6@1AJYX&0wCJloypn}6Q~a;Lz(Tjt&^ zhkL1fmxX~JsEqITB?<#NeZBqdhg7+H{WvXPf;N3T@599Fr(v=4?FT@9*%5_)5oWvF z5S~fG8}EOP8n*ylfwM9MV2Y;3x6 zZ2FFY^L#q#@k|14sDV9 zeQgi~2Tm_g;O}qaEb@LTM|*ywms)@5BDS~>T?JNcB3Ut%zcPF6P^ zuN!(}v@v)CynL{{c7G46;ux-t+u|*%qB!vm)5z;e!^`A`vU5YPg4hqE%&N-JmQA;% zP!WG9s$F!=a7524ghUKr-#{EwI0_*oHzK345ZJ*md$|q7z_YWg>Uz0bHL*&aK~ZM) zLxktDGqWhDe1d!DlK-IQH#uL9jwEe-gstDDoLbe=9 zr>;e)ip)$)L`s?&A=4C5*-YS~#H7~Oxl ztVUiT;sn)^6G>5sn(M2<2EPdM*_k)p!yb&xs>0gu2VwDooeK4JbJo?xZs63a@5E{p z@H>ZCzfg@1mXnSLnMVeM&!yo2#4(&8CBp&7uEOG_c&1`M57eK@EQ6>wU%hNiFULb< zQu=K8?X26TJTz@HJ~M!QGmvrW$$5VO2xD1VH5gj>-H8Umn=tk6$uslB6LP3L3nrs0 zV4JvF_Ap80M@hKU&8BO{rW^@H90DOdd;Am9X5F+B0&#)irziBD9l1 zSDq}4a*i-y7X|MihG!m;Cqf2yH8KScnGw|eIAeuiY;S@2{ry&4f*d+eXSZCUj|G8k zc&)-$vnL=+EG*S1(E)@Cc56@Wg=Wr#U`3~)$N(W?k-=Up2JsZ>(u;qQrDd$c;02GR zIWmBf5Ay1bEcr^7-%ex}MNS@!ChR7$s|&Wze}9jAKyy-tOA0x4l?Wn}YyjrMDCfbU z8O{2Lu^)JK>)3fKhY<&P+CVbW)&g(YS8*~Z#szB*dO_sWHDWtk#@p1u;|4Q+^5{w7 zI74`0ZYL0;hM|SLUu1s|Gm{Z3ofj1wDZWYyxg-oA^@VHwZ{)YdO%20HD0rGE4FTCL z!r(9;!xc)+ucXyUK4;kkbXmijo$*XCSq$9urOX?>#ZerH!vTNZfQgWl!|!LBRVXwo z5rz_v56`wIuE7kex-$h)6hy%eALj*ZT_3pe8m$EQC0jWkr6qp`!!ToZ&$v!$6gvbS zNj3@#Imrf4a~e2b0pJ`wt2v0_;bx0nh}1gMv*p;9f+lO*#)Zau=9T#XY-wWvKx?!| zu`)VBa-6~oLc($y=DSV{FR+zlz9snKlNbEvQng=Cs-<{xElu!cr z)I(YzX@wX|vNnID1ky0JXc)4B!$vM8U^ET81O zwg`R@52mv|akeCDY(#CO@)UkI=G}E?Ce8gcRJ%A*knE?gc4soE@v~&^&@6D|VYE|8 z=7(YY8jfI>raoM6jUkDZALjXwppc6o2K73I^+mQ@P0@d{jTWO|fvQ zfWPk;qPtnA+Wz4|Z#t^kSB6QJ*qtE7encBH{rL@pMtvIexg4iU76)=F!jRE`-)UVs zaP$%xzKh=9K1NL2rT zx=5B41uuVeI20xW;kT7fiJ!#bE9Fy^Ue)qgDX{m%tYsSe6RdXoVi83QO|}(T4~1)u z>7nLi{{|DobZDvNG`CJ{po+vX;wFB*c1W~F(t{8qq_`)R#BGxJ85HVQ@&ImNaE=rN z=SI$;CmzBrDx|sR84&Yy7FgfSHwXsq? zQZTd)qt)G##!K*jiBG83{z5@TnckC_*g@yk#pdxvGI_F+OdbnQjNL+=0RWc_cxWz_ z8e&>>#&`*_0_Gfs0+b6j(hbBmu1LDRm~%R?vu|rbD_asrGFT$jQgEz|bdV^;Iq)cM zetCcEM7WK`l)jYFs|dWjtIy`P=mf1o@*UCZ!|732+99*CeZbNNxT*)Oo39|3yQ+aO>41aYz1|xO{Wy;Pq&K#@%%Uguey#S2 zRePk#=oOzgk`vZ(@r7>40pIJZU@pnN&!2z4c;hh1DfBI*`CV83xt!Y{(Ux*Yu~lxI z?#bL>L|uw#ZowmjH`>=DrJP@_N=0m!@NjA&cvss;qJyMd*QQ29#$?9eziW=l!@(sAU1be?~| z!9b>S2mkK+S)JKXQ+q8kfMbD$HPgx0eHJjNf zB%u^&0~n%NpxUZ!k@}9kICnqbv7s`!Z0>s4&Siv7cQZX$XMSjby)8hcdxU?1=PCz; zY7c_>MC!_Xy=o(29FFDQL1Q z?ro?Y@)EchQjb2ACR(h-Gs1wso+_>%abR08mk$lfX{5o+sbrqXE3-q{F7W)ZR!KOO zW=u75@(=K}DFA3V_xAJWX?^(SdHlt@VY742L}P5n?Gl$AB_(m4`>lWGGTEQ)tod;=}#>`{<-!uoZRZ~{b2iF{ys&l->NRE{&WKOTsfRN zka>a1*qvf+^3|xThaO`*yjiCFoz^u4LZy`Vc^c+4U*?@pl$goWCrbA!>pvGIu^*-1 z{NJL@|4GG>ABMtlg?-CBOfv6p$8wF(ge zGmd|G;?HN*p!!nh+L9Xe=Y0U@?-f%^bGZzSE{k3pVLU2Oa|jBzGw~;E&Oc1c&x>hk ziOf+=bv3%_J08&TY?P{0m+{L`_xf7PB4=~8^P5Vys_bi&^UFR# ztHPn-z>9+&haQ*nV4V(iBfoy}!l<1Sj*5SuiiyRs*4kuUdDf| zj;$%Gvanh@@g&_$Yk+NOPg&fqJKMx_YEu@q2&22hmZy{k1^JAB6fgTl4m+?%UQMSu zGSKc2C2kf(OG7;EbC29V$Y#pG0|mMBqWd!sZTU|gyI$^ptNSEDcE^2C1z`w+dUBiGm z_TU|CDj4g}qJn$t69HU>6zd0`oBH|EG#ra+UE%oxUoN#DI`45nOtgoXmlrYCh_6NrTE0M1Fr$$h1{6gq9FGR$wa{oM$I!1iROQ0&dNG6 zebfVR%=M6PVuJI5uhw9+4JSoqZiJr*U#(9RO=E_p+;W~UbJK7PQ()C72%NX5%uH@8 zJd3(B{01K1=_4sF754#ZtA4}VI;b&z;-rS3Lu0+G;aTHpVQ^`6AUwC`!f)&)@X{#B zBkd>DMwU9oC|50lv_;d6c|w1LZzOQT!G*t*Ys^=g-HYOMveYT9Pl25t5FcnI$%Ei) zHVuGHPULVBRLJNQAmoVg@`%7H@xoh1il30lU}*635RP8-_!f-|A$6fE9NY?d%vu%= zvm9l`?hKAu@q5u!JUEYR8uOp!&@x+`9ZBrm(|41B}65g54wNu51dt2{u!o` z8>h)PIB4X#$>hn;z=g+ztKZL3{2}sVH-LFdGvYymEE0VNSq!0#DeT!eqFNIVK8-mh>H5bDf=v;?=N%Llh+ zW0yF9U9xQz&dwD}oMwO8bW5WR7d}K`B4NTRGj@*5K?VsRfu$>ZJad4w2+HECqSI;) zyda4Hw36J-HiVZBsI|OT3ca<_y%Maq0Bm3#;nUCKUagt~5KpW;-SLeqe=vGJ-!m_ACk(AX^CgH5Q0cwp_q5*9XIRZUATuiiE`9wJ?8hLwx@Omp!b)04)ho zBMjXvk*E>5p&M)>y>H)Q_wf*Wp7YL{8>*duQbuYutB$Hh82v%nG5{9bM94qV^*K0ii zdgMjr<873!tD-Lm8>Y_FSylnB(XG7S*av8ICvh!(YPNqt&T&KtvBd=uIRcpoi4vJr z(+#Zz=VAa>*t*0x$B=c3TJe|yemCC7Hr_{e?|AZCB5!0R2!NrWQrxTI=Vfv{mXvy)+#G|cx`*}-p%)5%wP7>#qD6)#7L=Y?&jd=5$2tj;*Fl}BXjkMMH=3a@R3Mz{ z$qs=MAklw2q6nm{kTfxokKvL_^wlkeTvXZ259U4e=AfEtyEtnaDE9Sd&SDtl%__54 zBaK<(rZPgN?#?Vha;>=*CsY*_Zrw)lKh9eyY6IduT2Lv8WL4$9T8=KI(9I%Qjl~Og zF;oW^VSz%ImlS#Q=`87Ky6AJ+Iabv*6IQDaUc~9WOg9W7Kc^!X_GrV&D9=^K8t@`=PsES4MV?-Xg zxtA_4!_TNL>O=XtFV2E*_cru`2|6L)s@sAj?26!EC~biuq!lDna#v%;#w(v1w)Arh~*JZ930Z z<@k2fqCJFu>ih>hD&{5|rb3Ci9-=kALSj%%ss?mMd1gwoU2bI8=YerZKD7qJcxrdxP{wiqi=d)>k#J#rBjM(mt;&D% zu`166ieLbF@ACyCx$bS}nx92|G4ndOu+s=9qLabql9BA4 z8r$DDIe*%+u-toDolTU=PhbFPY7_p<2L&OQE~;mk^PWDP#Rts@sGuM zo{mR;h1f2==ejVJixYS`+QqqjTiIXyWEVgQ7YEOiWmbfpF)Q-OwXhAs&BGV{QgdX1 zu`fOk(X)8gF0m(`Yfc`)VQ~RdZQ??zM=&Ik5(CtfZDevWD$y&T;7VZ$xI}+k3W9v; zI{qor0}Cr~{@G*fQHwf#3uW2eX<3;xL$k6?S(>(De?vx*sNhGbtjKI2EcAL?K-0a9 zu^^P5{z~OC%y!oQ%R3BBptz)YNfD8_$3y8ap<@T1W6r|z44EeevrP5qT4;JQh&OsW`Ml6>pC z0dR73OX8WMVn49rQ8Ez>UY2-*=D`Uq*ICwBS{%809zgS8^vOK7APw^K_Ujl7%{pMr zBRzc>f@-QarKx3+ZV0sU&j6(}fQj~ZMEcT$^h(;0NbAGaYr4dt8LO*=buJ%4Q30Ybv!llF!` zs+I*QYGDVO5ZrA)FAINMKN|1BswbD)&;vq@?II+at2xoKZE-!%#3v|_hIUr4{z@e0 z-Z~9H^msZ=(a0AB{1D_vOO>n7bfH!m2`&`3G32gcI--9s;ddjtfv7=e%kfJ4(ovnh zOk%!d65U!u|ETe)+t8e!rY8p{StLP5iI^TG0Z$%?DHVV6e?KKqO2O7GFk!M> zoHp>3rLl>kI&>jBj+HS_*Odh2+YG?z*U0geeQ@G8%EZ}Z4`eCD*4dRFl6 zY!<99XU*-LRQi8EG6BM)~GPU0vCe`1`gTL2u`k>TU5S}O8x4IEgMup*@ z{!bO#-x?$Iogm!n@xN%yqOG<5N2AyGx-86HX#1}m0B<|n(zw$LY-azNg2Pu`E*a$H*;g|o@ozSbNuDur@J2>?tZ=VfiLgiGar$g zdg-C8?>_$G9WF5b)4_AYJUcwotojfo8MM{m!`(mcJUNqXT_29*xj_=*s>DC^@Zx6h z{VWCFck?(7j2L{+^}>|J5V@fn5|{rwnZw6Z?0L@pMKz-ywHj9Yy?z^Ji+k{Y4yOQ! zCNa~$Z$M@t$nqG(j*|O8`9_{oG`P|0x2d;SpMaP%AkND*)BM5{SA@dA4dcvAytKd; zQEJ)|JE_yCQVm1V)3xgg8Ti6ede!x6fSq|_!K0n(hqfsy9<-Db)(7DCJdHe453*1W zSDc5V4=T|Y<*3_+Uyj#8rk90(46W#9xIFY6I@{sod!C!aU7q+d`Ba~Db2*+uFLc_+ z9a(`0Jh*ld!Drk}_4?ml7!~JA@xrglFA3ZzOU+{Uc#87CdAQ=)LN9X4cB+InYz%kD za{R(W&qS-L_~|UP3T{}CAhgg=S!g(_rCn@XR71PoVZ16cs~P)N_SemSDC8gU7K>6b zk06vK-5N|7uHmX1MZ^=f&%-H?+&IkFqV4+j=?GGH`hxV)i=Dnbx1;pSw(cgR3inP! zi31lmw7`a+17&H4wpJq$eBwt{AzV-o#NI1Wx->@lhJHlmfUlp(92(UZl@K(_K(G1U ziO?grg{&C)k(CR3q+B$Aqa1}%!`xZfH%hjfI=mPZiYMYoSRA+}h~Yq_$ZfCq(G1r` zqr0gpMj6-5BTA;_Td50Fd!!!6qU|x0$JS~+GJyldAgxTarY3TVBInH>Gz2*??B_4T zhB&Ywa>LOy7*@uX+p<^oZ1yJbp_%Hl5+Se+9!?)`%o&cSYfz{XJk?bQ8Mp$@2yUhe#3Gi(HRVVUZcX9NWS7Bb*+Wnsg$Agf9| zayW7B)KfKTw5gt)4!i)=1FwZ7kZ|HVKSQ8`oXcxg3QI1_LehgCG*)Za<);NZxnz=5k_Pgax&A?;|g5FHdrfvgCuwUJ298|lr4^t(=niF z6sqSlzi%6PN!-A|G?>}b*dpqbMYYD*EEgY!v+BZFa+0y}CnPA{vwy@#-SBHfavmA< zIPo=892knOCA3k+DD&!$UAZ$BO{vx#FMK{9a91Fm z1k#^Lh1qN&QaMsiwQw>xS`0&5>O#;Y0Chl$zXAgpukyw^S9n+@1w3>=Ydt&-s+?>T z1tYNmwCIK%f0-oFdXl0mErrSUJc2hNT_GMyObocs$P$U!!D_u?;O2Ncf>FPsDNGQ| zvP~_ip`99POPiJOwz9LVE)-{7S*thpy9h~cZFXtmvi*56UGk76q7YWDa>5tqg4=u( zWD$mLmV|S<8GV~{^GC|EN0tzH+qZZD6W==AR{s~0f6xcAtQ6egnM~6}KREO_HKoCa zR2(LDjD9tx28@%ptDu*MMzeRx1_V7aLTI-+Z{#iZe?}ezCgE|I0O6BTb|A~dJq5Ry zjAE>S&y5;+kDitEvZtF1SR_3O+227hTeT%c9WYc@;*}w9d&O_(gTng_-b*k%3sR=_ z#YMN=e+9k%B=nx)s!U*ARfY#3C0fiX8$uv*QIZ!(m=ih|NTJHnnm>$ORbiO2*h$=w zMlfcEQRsX(jeA*+v8S_fwtSV-OoE)~<5Y>0HBOBkr!lX>yqp8t;6k5pO0t0{F2>foVmk-Aj^B62THbW;EH2xU~0&8)w06`3A zf3afGUIuC~PT~lsN8254G%2w@luiAB$AOFx9fg;Eqj( zV2$C9W#R400;W|0I1C|Fo%*UUqR^%+QAqeTaJS&5qT~-cH5YuKcZQYE`a%~IAv1-n z-U{7&OD^=C4-%H`Z)sL9t{{>tfOZh~|5=L%-+_3Me{3@c zV7Hjtb|z!8J_~TM17MWZwSZ$|-c7T!@osM~wyF7ygDjKFHd$NBH#TXwXRj&tw$)Y~ zs&uWvQSQS2!!gGrp8PysowTHU7Ds31{XB6*S*uDlTv8mQYPh`B;d@Bf+yOEz_r!<;i z>R31?GV4ZL*)=9a??;i6#vlgqiHkLXk3bx*?T3ue&r{Y zohjj_OYe%07d@04os~1BQVvcbPFO79gQZebmQD96v~r}XrKB3AYL*uAe@dAoQOo2_ zvf*-F{oW86c$-yvIj*^C+K~*@S5&D{p5W51yvf+E$n2cn%bXv!7XvdNj)W%0H4`rIsV;Js zu87l8>)Uap0$d#;H-oA8;;Mp z$7tx!VXVNS=-P5OJt7G~n7xelTj!>8aOV2oCOmsb_(E69u~~+=^Rf@4qWIrWtPVW@ z(YkgK1UJ_X!U0qe89hyUxKdOD&u7vGxB_w?e!Ph1@qw74E(Lo2f5uE`0242lOFwT& zlguD?6Q`Ap3vPB;yV(*$!CRn=!rYAm>jU27aTK4aoK6f8X3okcm=K8N9+Ft}FC5j~ z0&E<)NoH&LB+FD>FRYddFZ4K6#SVUeyyY}a-TCX$S6m#ee@EXHF1ySmv73g$;`?eC zM9%%A5XQ#8@q_qke-ps$XafvU+yE_>g@2>_mqQYMK+C2GovtcMwH1X~j){14km2&m1+|R3A#MB?V?hKJZ?b5hFN<_W|Fu` z_NVt3d-neJKacxix=I@H%O9qa>83nxi*r$W8`Gw&wYKnzek?~1PB?S(scNv>TzD22Y0*!Z{{hsxksY2ntxLSQf|NVv z>y%|_<22__e>UK&RMqj=GGJm~*qg6mQpDM^O2l_WVOhb#?$Rf>;@-JlB{_zjyQsRM zTenJYJ_~+c7H_v-X$V@39%T}EkR}W~viqGk28A|CzJ%Ak550-&FTTEuo()$nVj_jJ zP<)d7OqA$rDroesr?c6N4mb=})f>-^=6|`6wyXb)e_|r|H!KkE-?@3$?v;PM+|9yl z=@x*g=c~3k-9ku~*Nfu+noO1C31Jqyfw%b=$)Ko0{q+mkg}9x6@`SK={7oTi1F-g< z7T~$OrzJk$-jj_K|LC4#H}Rtv-P6yz9@F<@*PDTR9R_ayWCy+;6UrC<$8Pukf)U8e zm*6nWFyNG#AE(au*P^5U_eqEk@m&O9nMAqsFD$>YF9NU4{{U<*_6xC}G4U`emmi}7lz%cWrq4&chhDS$>EZjk?;h@cz6$_2e-ms{gd_4& zKiw4d-MgRs%>nwq-}qjbXPYNItKLLO2JdR~_U_+zJ|kw|UI2Lco=8Gml?0nB3@kd| zjHCzxFOOq^w7}y7-}A$i$PjsvCp475|Cr6YeeC<&hclDnWz)1nQ*i5_s((1krtFx? zWkc_8M!pz~GzuAijj*1E3|C|->5awIi;IbF5Qs3ou3ZDQQO_l}{J#n&a z6nH_9n~BF=gbe46;RVfu>UIIjNF)2QsF7&t0v{F*no1KWa zYLqct-q4;BfI=yX#J22d=zpoKD&9)bHX~5}_6hfr*TdpCBE;CxfL-(|O<&xoX%CtN zn|+#lekL}1k_f{6stQ&9QZ^@C;sZSQ^zk_K^dXC)y&mj@zNL59){4I_swm(4VN_6? zKpra9{dLCK)YZ!%@gm^KJ^-GDtd{RZ;IE70x^#d>Czgw^j||~ivwtZ@Z4Tq@uc*zk zFxZUJ39Yl_nXBYMH2^i(UjL?%ooLUDo+hG4Dq~=VZ0}B|9Fg>)^H5~ z24*odjp3DK!pO&pA$>ZR1&ZRCnh!f%I};t~`e6s0MDbz5sx=~#aCWyScD%Ory;;Y7 z=N4x?QKKFu7!N6H)qfcTjL7v;8ws=quI!fmjEyemraJ0K?uitWm#VA!I!wDk&ELdj zY4w6Q@nZ0Bd_et|V8!Bz09=fjqKRw-m?^;)h{VZ&z%0CFiZt{N^Xe9MD!Kij;7zkX|wk^zaFMkW;selCF`@bl2UmkmP z9hEN1QL7GZU3P|E2USU;SVE!14N-`4ha-j&D^jgp6HJz7E~vdCRuSO~qfY%<_B8jb zAs{W~0|3gW^3b0R?0Q^i_dHq+BL^$0c)7N4P-oqQ!KC|`I82eR<*#L}UF8ZuRn->8 z5l3$6r>-h@VOvzug4f?s$aGg+pw6GVTd=zi@OCA`ky0ZH^ z=4PU&CpOW|EsL= zOEzm||7@L(Mey3G3ZZ|ta%TM_NTRH!ex>X?$4SfpEBeHQflduU$${*~SgfFK3}9EeAzh;&iH0U5(NpI` zMifDq>JD(*`c0!KM~*9zT4vDQ_^WyL&xzdjj>Dc?g3^ZX>`Y)|gD0|pr}tdyPpPtkdAJN`D>udE$lO8=JiVbg6@Gctaw+8s~~U z^Fk3Aj$Bt_#l*|fXcFaqUO1YDULJ&>e8O5Zs^7uKg)__}FN%ZzhnqKgf;RIBAEREm zVu4xNOl~@9GWuohL(8FdR?HwW2_}btbh1)Y!wU-C^kre3WZS4|G&ae&DXA#?MU~-k z)qkfgb;=^)B5n=s0(%3*q3jBs%dnIza zHE$w&dDC58)uply(}LMnhbdehB}F3gazCAl*}n+vEFxCvw?!t4*^EKAbtO95z`Mmj$29;f_|mL}bQF zYnakLA)$dGDRzyeRgkmD53~y7z<K}NzDsny=B2gM173rmRrmqkPb9lOXBc&%nH#B;J79ZmW;+uC#Ka$#}qf?TheRf z6L&L%@EaL~Z;S>&MUpVtzCtvm;V2GH16Dmrh&Ew}15JT!b37 zy6GaHOp({(o^!n+^CuPx`i}#dIPGYh>=dVvzOqi{b_B)bnbuGaS(3`JP2$p1mQLe) zy#+^j2v2M8D|&0pU`H@atZTV-ka}RA2LZVw5p3U@+`vR58AeQ}8XRP|no}IfkavTn z!qm60gR0BZnSDfWWzBI^kAEePR&7IcV&|hZ6yBs5J+$1-Z;jC? z9xwwHf_F3V8_c_zT*4Sr2)+nW*knz*2(G25+I_$Q?)!GH%qFv}6n{^$xji(1P$k2x zv((k9T{jo9coec#i~AbsCK97T>WUlhMJVPv0dv@YTva_5grK`d{Prn-{fu8#^?BU1;zSxg8tcwDj)}^i5(=)Y5ud$m$4S%vK74u?NKw>u{Qd_Uk z$1C1L^65^Pz(>-Xy#;W;?9OYq`AO#aiOFz(ASE*Qn=6)? zhx6n@pnu{(z>4SSOB@MR;JI6z|Lp#&FjhCmB;A2*0??QJx#i_;nv4~-b$uvwb@#9+ z2RHV1tS!oM#(MG3)U3zfelC0vJgQcj#j=;omX(X2k3rwra@lwXwp4_9(1$FFFi2lh z0MMDp)^bYp#BPQ7Az#;Thf`WE%Lm>M;r?0=M;>T-rC@oMUxkeYEeb{UUlEt>rW zaIu$Sj`5go{@vfc{Rda}2)PM0+-=?epB6T4E(*6Q6$r?mk-p5M%K)yrSmK~N-utm{ zFLh{%TpHYI@HhVnGmao0`{aKxmp_%l7Lo9RK#U>b zZX};X`O1%_4?8N{V0Os=SNUYHH&L8;xv)R(g!vri{{i|`75bI*9=n8fr(UJIhknfJ?tDk=jb`^|&zYF}h zEOrlS)p?g>1zhXh`>TIm1^P_E{A~IBYz3SvE_Qhs_(7c0`F@GQK)v04++4qU1CPx1 z@RO{B3H9(>pgu|xPe{C`o;Y`H54UhE)(kW@w6uSwX$P)HPE0>HoXnFS_KK%*;62h5 z+^61u@Xv7{rrwD)_j}k^Z&9^e+HGm5=f9yeM$vPcVU67`^%@Ssg3U-+`0Aeh62#fj z-`~V>=-r<5&XPA3@9Cb^J!h3B=tI-u1`kMuGza0xI^f1l82V+J5?8wMP>Un7A#lzG z1MPnuT?h3-xT)YIA*xuz2GKS&c-OaQx?_5TvmUpqg(40HdVb{MBa*}`qyl6e%;Xe> zk)MGQX-ToBX~*WsY2`wqapC82Y8GCb*H(0MGz1K%QPN$fNB|=D32MK(KRc&-{mr-E zZE#>yvdBtU5&8)z{eq))dt`Nus~-1RYG{8va7}@JCyGwMW=l#sFv!S|G58BzckJVa zl=~*k{W6X}gMHjuB4#SlF>N9n3ehNLDQ(q9R>Q=khWBjXS~k2p@iPq6mz;*RHysmN zSA>?FXpZ$*na3Z*aB|+wncDZ{zBnLX;f_DoMH$ZpJby?ymm}i%O^E7+pA!pbvIAFFRO_EKzII>m}u<8q;Z$L zSqUB6I$$ObGsfCiv8w91wCr_E;M#wzX2TOEVpYAh3fHcLvLp)pG>px;yB@jT;u$|8 zWot3*#yqp|Mqp7h26S5v*j07_2r)q&JV-m4vr&UNgCI}=R)j-d1fuufy;5#DN;4~& z(*_Bb5?b{clPebY&Fqap*xVUsbo}XklUuedd0j`CqYl8rOErj6Kh5mQgJGdjHA|gB9&sM| zVURdjZozhaFztZFy)cUYaN@mdjL1YbjAq=P^`Hml{zm{7O{_8Aj30)T$r&l$LAOcvK1W(lhBVWs8tLlJsG=oJt-3F zU{-zA@YwO|XVCf}>Ut^XHNdlii@KiQ$P?=c%>%Qu+z-llX~M5wzrP8iVk7D?Dchr9 zPrb!Cn{jS`x;)}2@ryFFMq7h=uL&aZ%RG6BAjou++%K}!HC-1}V&Z>Dpc3*qEhx+l zTb+&`bPl+bn9dM?KvFnx)MSUsX{#ibOOx4>d(*GFpdJ?k^*VbbhkzS_@yE1lvOstQ zFZ#-4rblp%lMfC_Y%nvW2KDtC3Kx#tV4L=Q#zfbZTs=oLk##ELTrJj&E%U?J=9&_n z43JgxKWu7aQ-gvn0kVHN1wE<#=W&?lN)3chDcJ(snKRn6v<<(EzGQkiFfVu1sYS_4 zUuUU_U#cW^2Ik(Ni73g1@h0S!G_76<*BWRHjR5td>M37oy%GPiC)ppqPof zw9RaX1T;lT;HLAggP_<7GYU7u5URo0h@)XX3<>=dNCs9{>Yaa~_fBnZ%3v!hrgd08 zr6zId=dpDGe;3V%HT?+uEGaDZ|M9I)Ti))=z|$hsO2Z(u8AP+@b8>JBq1~!pe_sfa zOcJO1VZ9$&V_j2BwZXIN+vB8{`pVB8tV@*3LEQG&Cqrr&XMP^UpD`bJgG>=U@y0y} z!ZH_=KLGbEn0AjOl`^2^V{=D1m7MJK7X1A|Ie}`T!W?qLuRkA73{1y+Eze={UMsxV zfX-p&7e%%(35p0Rk)i@BvIt(cVk_Y0qbxU1KMfhdg=T+I^cmIrJp`?$GR2Z-RZ-dT z$h+Z5pA@D6psf6NbENd6(5;<{VcSlYISAVp{G>VHY*72xOG#|R)CnB37@Pt#W_A?K z%F>U+$bkeEK4qTyB}fCCOD>bp%`ADwsu`O=1h83nnPTaTPz++08>3gUHdtRIkP{E+ z!FnF!*9?D(f5N0zE0pHNtd{K(xq}zv%abf}w!25S5CEcT@7&ycDx8x(IwXEnwAT=v zhsRYs;uiLc#1E$QcPp$x?8|NJ8%}*Y-k+?KUURKan{ylneiDR#ZXNZdtN_IhisD6_ zSgD4QRMv`JYbv(TT{(pX$uHD*Qmvk!`T1ZvH(P&lP5n52(P6Ha;ZPO5!aP|A|F-@h z$AdH$+bm$S(fg@tI_E~u@2vKxp0)uSH`|3rn@UYRhr||ZsCKFN!Ug+R(s8z%?UzfT zWQiZ9<>!f)*G|2@uEDvQ+RQg2F_at(jeUz%p^V>r$LsoR9(!SN-=GHLplWvH7XVD! z%GQ6_St2QRpxMJ=78=D><5bL*Cn+w=97*mCW7F`O<`2r%04d7uaFSZC#XM`y$$m^s zkTfPoI@+~fue-*DcP3N|ODGvHE;1UJGK)J$fhoB`%BOBXLnFrUSK@^f!>Bfww({3p zSiZG2%DCn>HWA3L-5sW=u2R6If==zQ!3lp;TN);;D9U;*L`Lm|cv%d|$}1Gj+9&Z}vBil4`1aE z-y}ilr%Dbp)jD&dr$U~Moyg-jRT8Jpz9vw*NOIBZpOV|DqjVUU(e=$jJ0ukNQ@2y+ z6{smUouKQY_qu5GGCB{h*19gU9fn!S4dfy^^r2t2^1f^ut_ZgP1d%^Sk@FWRvYP@J z2Vw;V5XlORH7$L+18&guIK=9iz@uwbi3=yUI<*VBqAUi3n!`hdkMhv_X`fR; z2v#g;h_VQ@MpEw)Cbd6PcY1$F`%GBK8cF<}LwZ=zDth6@$?xeYrEDqP9ART`S0VgXeBK6VO z8t~+ULg%nTp((g(ARKFH#3(BK^nkR)$^_azC$AMhGMHjUUYG>=4^3 ztZ{cg@cAYRkEwZK6Fzuu;udbVXzE1v6EM-LSQct&!4>sVpHrA2(u^>&{Tv0j*VJkh zgQ;^BIuMj55ELGSr&7pWOQJJegiMOLiA~=~<

PZgfa!1Av z6Be-2&*iUHH@JV{R$>&~L=rD94Q;d}^32bp=(5DJt_7(dPW=mwCc*&73W_D1xFtLg zA(IZGL509y*0Y((DQw3YEkx*QiKF;q?C8qqLImO+VTH(x>2mR<5DpIDRO(vq6?;*c zYcdq`2CkQ3{hcymwXse$%rCta+0a$sM{w4_kMTPRKLLMk^Z@%W2H&Y%VhQa-Kb~6e zUju{#Ns$oo1wSHqg+su|2X46%d2jXw>28QYc5u>_Wxi+LvCtGrO=s*2D4$tqAA-)^ z6guFZf=@md5e}Qs0t*_t5{r398Ws}*fQ!~0T-kBGmI7l2&I$m*B7KIzhTqrXUV!&g zu?BpYCIwPB;}xc|tJCaMnj%>Uz9{hyR1^21Q8 zb`qek2-DpA2GR%hKP1}r^1@4iuq-LPf8qa?(7!T3tfK!iF&IewPs;4yS{&N{3$Gg& zvzKvV0uz^ly#f{jGcc2(ASjo@y#kqk%UME~_mYNu=J@;Fk2kOHZvMClfI0sV95Uo1 zW|^NI%J$~h-~B@c<3ApJ78Uv7Nv>)Sahk(_bNJ=v-#5N_uqZbUhO`2o`B6q^>bv7@ z6vVJC{3YJl^v5Xio@g)#eV@kf>uIF1vl!@N6lLF4G<}AXf*{`EkCVn*aJBpfzW$oSI|(A z{%yCP+Jys$_4G{B562i)g@Oht-39?Gl7z&;%W38fvg3OdpE#4+@L2%T^I4ciDD*Tq z3?Sd#;M*T;GXm;Sz;Y$)pW#@4r0&-v>H5B@$5SiMjl*?E|KF|FP&dSZQmCa(pdZGt zx8nZQ!-U!i!w5tIa{1r6=?7_|b@zzlp*NibEx6}nU5+%^KhT((3_TECKX;{C+6pbu zdTh~<6qQlXIXiHjuBJGc~O02)H z1uFVUO+Q#9(N;E^Oi31k>}4ZjQ0jT;OHs{(ctQ8msUGPH?0Kru9q@+C4zC`2`mei5 zUBQvT)d#^z*k~zYLPg1Ie&5fNrY-2=?GemdtjGaF4cP)*U1M|{*>bjw6;ViMy92^7 z{PO$EH;f_3nV*40(i$y)7t3OhOs3aJdrOKPe<|>;q~F$E-}H~qv~WE2b5qfE*H5(c zUeLgzDEJX-5g%y{r`xI?K+5|0O59HUE&kIYEtA6Q6=Nhpm^z=3>ND~QW=kGgcnzmf zG8S-ZRB9S}_w!_IkN=$%<872OKTa)|zb6F@Tyo~e7F>mZAJd6{>p5wsenO{)f&MHy zy3(*Br(v07P0sKZyh#y|2J9n}wbBNINcjMaDdK>wXMLr&;{!nOz)2~JY&W;}q^6bZ zsXvd3?9)jMf=27kV9sl~G<1j4tY&|&OqXy3f3#E{rLk0c*+lvl%5ju`(+#S2WEOyB zCO`%$i@`oO*8N+582FoK?0?IoAws8xHtGMIG$(xJL3^;}mIfLrug9bDZc>P#9e(4X zG-Lu)jaaA!0`aUvAbBsN4MEAI9^&9KCyg1%m%^ZWvO#A6ljz$9*?D&9iFDA30WfHL z+661&=D%T*1cinZ;`O~e;v`<4XE;ttlN7hL!QyIO4@dZaXBe#`@68HmN`|F`zfteK z2cs}aSrXDmsG33Ar-ZFfzk=WD9$inn2CGX7T`)Q=ryBA?FR z=2;}{@HUe5P(M5`D_aQ7{P7qko^}F#xQ)WK*=t9Cg21h~Q%Bai>P&O#iLkOPq^6iP zG(R|Yd#Z`3EhY*phSdT{jWuY-lseaG5S|gXZ-i;5t85*%VhOZ8!vhQa)f>5JQx%Y! z@=n^s)%b8r;7;)&`U3IcLh1zM^YBlB)slGWBl4;mz)BWa=&k5esjyOahHIR-jxgFu z%=M6eJyp(!cN*_I$Vj`$h`S2Qy zs!Kq(4>S%fq!9~~B|H83r`nak#=u#u*IYNAAWvEPC9{h~6q}f%Pi@G_=sq_ZjT&C74VG$u>ltot2M6gvkY%k1N7#7>=Nv3absJ|m< zj;<|YmIc{<2h1mhW0R<0c@pUL+OwNp>we8A7l|zOg@GGb2&~JbbB9I2f-Ks4_s(d4 z;}B2?jVFdGp3`_o<4=_T!33DFs3{4q7`1fBH(-@IBCL`&2qO{_W9kw}@)G1?)p&?? z;{gUR*OB^)qlHfB&~qGGj7ug0@67{EDuN#%64|GB1b+?ZSnfKN8zoDYdzJ~85v4nq z5fv<6)O&CE!>Z108( ze23L}DqN@jhiIBsr^Y|oTfTNQJzgv|jbeVS9301$b&PVaiziz{-`@4+UGhj(8M^CE z|5npVRwSj^Y9D~tC5Zc_d$Z|uAhLhek&TCN>FylR!xW8j ztljBV2i{;wL^UU6QYu4>b%z>%E~bHZV_Q$ljMb{fB@}iFGl7$y7(#f|`(ES8gnp#g zuXOjqiPD5cezX^lGkLjlp!OJjf{NLGWwiKM3>56r|Jlc z0J5TdtvUwR84Knoi>0m&2<11gE83cx%!$v^DA~#x`wV=VbuM~c>}kw@=xyGoC#9-| zv8$|Do@F0##AVZ#>kwq8D0}K~-aKD8=!g0d0!DM8H?!Y34_Uarli1b0*R!GP5EW)V z3-jy?g4DOqjs~#N7?SUk92$iT$EDE^0a=k>?}6%&Av^7MMA7g5q&iIBkw|u?j*VxO zqVBg!fWblWWqKp73fZiGWtIN~Ec1h{|LjwdDAVa^q=6TP%rj5&24<-N2D2;V!;Pgv zO-M=)rWHwiD?5pjpAwj5L*sR6JzjXb zQmQVOLpTe+Tccjc8=lef;oaqwU7w2M+Z)LMTN>o5^S*geh|pNyQa1;f(|}j@Z98{$ zX=Kcs&k=MUre7aOdvw&D7n8DGLx9_saP38xI@7;ojb%FHX!VL$SNVjufg5?4%3ljd zQLhD!(1uO;cTu%}tCD1Q*`R9%Cobc}-jL6(b6Tjg1HFXhar9Xcco)F%=?{ddFl0#_ z{I$jG*v~^LuGO#(IRrU`_SougIHMJ$ipiR)Uo39lZR~396vz-WeQCw11@HI=Uc0Ww zzxdV%9B3DIf*7){IKLWp?Bv$zENfXjkHS*I$E2uc-mJNQHC@_~!sZ#|Zqa9?7mLAY zaa^gWH+@d^J_=9W?JI3;2m(jppDnnpa(^wn)mL9z1OK#oTL+uZt+JP(=lHb3Z5__i z`=fw$5KRr{Yq-WDlTnmVRagyu`B_-uTZ!+RjRJ!r@&HYBz~tC242oDv0q43NdpUAM z$_&RSMB^BLNcz-Q>eC>c65R-VjCvi{)J}qiH2mNoLL@Cy1G`)UXRBV5lb;&lx=x@( zN7s%s;5yj56mh|ji}JoQ?1fXsjYS` zc_AM-H)0Z7<@Lm3+t1b)UeN}>{SeGkac=oMB-T-Xu}RBES5XJ}9h#sV1iP=jU=xMU zaK-1TM=6*{48!|e*$-6p0kc@P)0KLY4U_hFe7Yy^(+dK{i~95_)So_u8a!Nw_`sL9^O+CjCSJ13>zki` z@pc8!zu9?in5DaC>Q(PloWir(-QWE8#*;lwPuGVnd2SGgI4bsc9W-1J?`A3ZzMDl+ zV8!5jt`{aO2IayZarx($+5L1Ic^-(PZ^te-{c5_;-h}Bw4c_iHe^4+m;^p7$0~9pK zElNQ2VdT8WfBD{zoRe-I%jS{W`dU{Nzv{Xjo1y2A_Q=md4_YWV!8`nCWL{~w+Xq0K zRUV~IV?fo=6IA_*DZ|My`)=T+xWz=lf0f3(`z`SfH<);f4F*Z~2FZa=|Zlw`?Q4uob2U;?M)Xv7E-L;Lpzdias)yonb<)8{Fgv{yM{!f$t~$XNLY2 zQ=tnz&BV8z8@IO@KD7MI+qNspMi0iaV_!Pm^v7Aro(RPQf0dfT6fW%!jLlC#qT=!o zC+d{?+&K+oJ|LV&n#MV|y->)o;3-{#jrn|%jrb~a<0Q3uzC*2$^WYM>dC5Y;7)FM6 zq`SXWLE^l|5!*FlHwj-{Vmou@D&(05_Z z^=0&P`=>=?@qB*gxhBU)%G&X-9*ONA+GOEz2*kGW+Q1%8{i^|>>>wBa7ng|gUr zE-4HGan?ZW96F<)I99@!=p&wFlVB)mSb??OrYZs>#BnyzO$@W*K zHu*5>={TzrJR=N4h|y+|2XdP+4x(AubFoelmziKT40ijrvtvq`tu}RQ#T!S~RNHPj z3M{YQ&R2jW^I-~uKsk1;^g;%8xywRdHXLBh`z2ML;Il_!z%acdwmrYL!z*(3z$QdKl3!8jTA zfh0dlSJ`K8EDy{~iUB@1B{MTYwha;$fA@Sol?-3SOCfId=yXPaU*Fc|GPQ`GS_awu z%ciWy`U1#;X%7AGAV>0)2!&jaO*!SNB%UyJV=C@bj~qd`xgXDyNpZWDCmtRb9Z$MC z-WDTmWAQr6e$yd(INr;Nao26!>Mb>$J2R~fAuK% z0zKl-Lpk)11FlkN&W?{sE+#+uUITDuWT4IbZr7)}=LgzkH> zU}0}4tpgZZ@Lk!p4cheYm^7`bBG@>CD|qd7S)dLPW$!j2$|;`nrD1P*`QROZQ2b;qoF5k{36k2v!h5FZJm)Bouwnzs zn?|}{hCs~4l49}w8ICb#JaeX*My8ip2--9s)?{IDBue~v3Y@(sf3d2^jHmfTL2O>r ze5Z>Nfxj03svA!D#wT~AERJ=W%nBQ8I5HrxQfZ2IgCw!f%_L0d4Ojw|cBaAH09Moh zsI=1#!i5Z*`0|%EDe6%5(r_?BS$li@QeDkkKqmJk!-SdL5RQs$nZc)6Ca?Qd+Yf)* z6lG8MjDAq$3$)=$e;o^+3wRiK%e`{5Bq8ibNeEsRY0tAo9(V!OfK4w7GqmP$ut^QA z&i58JD&tuHtEsLk0_aQZdc`uQ>cB;sgyUg1*Bv@dCzHQdnfJ3O(947|yh1>q6Y%kW zD)+$_HkNXheWDjj0N+$WF6!MRu%XS{YExy==W`{|q_={cf95L%KisO=O#`)-6mzC7 z6NK_+kYIt05|E`NQ51$x=8EwR%>n>Gjsw#%TTRf7kr{kn#R&q~l()^|Ok@nLEQZEK zDPAlGuG6KkJeE}<2+3RC81yo4cP++jL>iP7Ke|}Rj--3K-CMOQkd5&BP+Z`9%VVLZ3If_?Kuc055SqSl7<|RzH(FHkTn!S)Vi#g)N$nXll3&?P*pmNc-Spv`x)l>p`3_I(L9 zLf3QFyklDr#l_abh~o>h1(G$}I1y|cPuLdTKw}cJf9ld6?!C)X{YxPJI5rfQref!(=PZhZeZ4r6B)H8qB3>-|qL;sV>;9qKfC@Y2=__{#191noTuEU2JczoO5(VJS1186g{Rfg6o=Mi<8cMy`B zp#uSue`y7g&6NQa02+Sd+X8;ax`#EE(B7YQb_vPl$g#e9+!27qB`}6pVC=1}Mj&-g z!96#>D>b+LaR2$Dc!o_}q~MMz6dBqfLFwy92oC7Zmzbq8!NRF1N6>`K@3lkeI zJwlIf%VvDfjdC}5x3{q5abFlkFt`wTn@>%!rpjM=;iM@ndf`tYMD%aqojTUw_9<0_mCp;MFVnT}Ko{ z(Ar${^3Ov;g_B}@3^@w{A(xsp-Dbh}+t>Rja=zd`+o~+IQ`ih~z*z`Kelh|Hf2ov5 zs1In86yc3BA=%4#OXk}H93)rX&3c>ZT4L` z-}VPtk#Yg-pDWjhr*+w`Gf;r)_xu9}5HW0bG}HVgeJF+SUR}fAFveYRh5>zByGncw&1dbI4?E zZDp#wIZz}daYK<@5{$?He!9^OilmfGb~odL0d#|nM&s)TAlKSkuJzN^kDIGk_kpld zCyl(w+UzXfOPnP2tT;%VAoZwjDg#WII{va!v^^yjzlQOWTklyfa%#i&Q%#BOZm z$E&B0u2lg27t3}0G_jubtfLh~3AB~blg;ZHt_4HxGs~(z_AHsLEP(#E$ijllT!}=VvPb+-_dTKx9D-qfU;$_qn z5$?iSC*bvzM);R8QK^CyYbfu+xg ze-A%hSx;aT*M4}1`ZWbghv9o1WwaF%$R`DBIOD>xllqLg54a&Rx^Il`$96s#qt}X9 zo!p_(BvABDfz(Sivr9QJ)O78Sx&7H@X6F zY6&g?3Xlr`VYdogIw$xb>zbi1h#_3}e{a;IlhJC|G_Twd=S6=!(BQyF<+IV2hf_B- zo446fOLl_JA?pf*KcZw+C$r*Z6_HOOMJooKT1xI|8$jC*9U+Q*Hgu;d6U1NCp{(~8 z&u(+jSPRb&CyeQEh)c6#);}(KQ$(l};&nQ*lliE!NMLSwwhH3X)eEdQ%Yk zfp0UCTZM*1!gGmH7<&|jso!>uqDB3{!(&>lg*_e>(#rY-Hi+>ILi|?2fl<#aD*GNn zRGG1Ggb7WQJnz_65}>RmQqT{uokbadh95=tcHjl-%Yiy4rAqh;*Ywf|f7Hb`v>^b= zjvb*c$Tfg{N;WW{zyY0;74TCN*?MAXpMy3dE_qumJF{Xn0c{0oFNj_Hts>s&NYK{- z7z8K?N#vA3JT@KckoCmQXt)d_THUN3=T5B=ku@gPM@PWOXM)xL41aCx5&pg-;%J1w zBfgUZtWL#~2yHHo&Gp(|Kk)-_)rf)dW|A>yG0*qT@|iC@0x+}?X#t^1 z@;5*e01g6KW5D);%yqV}8^Twa)5o3M>xADC+>kT_3ldb9fp0}Q;3O1@eN!#yQ*{LR zZ(URf6(nkhfi|N?*IlH*8q}88mmo2n)N>9SgQf88E#!nAOEsY1f7CUk?VLHCT!T(^ ze=MO~&@O;Wcg!6X)6^WQLUu7hUXNt*t}lsFswg%LMV`s z?topDCUNLMpsNGQxb7?@!tgJ24Db6m##<=&#?oV#<$RO4!F}X8xI2yCK*8C6i*vAs z3;c@&aF3BicXV)~e}0`E@rbeex#VJEKp<=8sRG1AE--S{#W{~Clq#^NA%vZ#HcqC= zdOuW`;&_W$oOz#W3fdZBdNMU_CwnLljE-&A_wAvB;?21Pkd2085aTTe-C{pXoHXn`KJ=FXzAj#QpMxgxj;9$XyYogj($im)JR*u?JSe@sM_IwAl*2P`P@NS9b2 zyD$|HlzLdo=(C4)e{Ct`H1$_cT)?d_3WSl~!)*;dK}YvJd$UR*pYwh=BV*cE#ssow zQ&9@a)X>RmT7QlmG&4Xjb>bwPTYKnbzo^c^?zwE$ymp7Z8hoGj3G^F0e}i!tYm8qT zi5W{7YeGo>f1nYk)oGPKE#7riV`j7_PPCAEfJrw?b+NR+(FYU2;a^Y|=zLFxUPcXj z4tD3BqnWe26A*N`gZ2bq7B+zGYOHMxP6Nm#Ske||-HK%vW{YERKPh8;1p9Y|7ltBb zM!B!ir!F{4S>VEI%$lypCQZG`-2(>aHzcArgWB>Xe=zkHHYVCBH7ZGzj2cu+Lyjfw zsO4f!*r=IdE)Q=VgsHiSor^MLG%Hi;UQVw`ngj{#3y%UYF*@H&7*g>DJYAqcdl}Zz z0@k61HF36*?aJe6#zembq~6L_no>68x)fxLib3^G=#C8jAx&g$wk<1@O7XKDFJL}9 zNA>lWfA=2=`|bTIMh8XpiIUR;lAsbda!+%2{PZL2Pxvy%0m9<7KntFp2jYeQIqR7zA03u!dM!P zgB8aE4t^jHV!tn+VFRMp)6=E zG5*F~-G^6b^o}B}V6$!LCNH6@Opm+AjM;rmkFEPQD=U?V`q)=d7-1QKQ0#@^82~@? zrYt1~%)&|Z{h!>Oy`VdHb-2CDE-!)~m}X!u+NXn%hAP!cSPg`u1b^T|wKOv~8>EHt z41eyckGs7)O{$i!E`^OG=!$wJxql7}tbPfG-ayG`ri|wIbW4eI5E$7~`ajtaQtUzX z9C=5g1(W$*9q}V(b0dzfK4ip*djT+0*aqNwDZwbADw5mgGa{Hlg2~6fD1uwTNZ;$C zwXe;rJwAN<=x_V_f|W_WBbQg}`lo)ahA-NKgcG?14DSeJ=sz1?2n93DdaCvx%}bE% z3FMIjq8!2*4C=B7Rbt4d#Mf+|`)6uF>(w&q!b_^7KEv0ey8bNAdUCaTA_3TEi!9y> z&At*}mAG14kv!w$J>%Ii=jpx{nz?#=Hn%=n_GQXo!T`Qa``hgo#ITmAGYEH{2aZz# zrLyPKQ#C9a5gi()gusFTBsZhH5GKs8!)TM211QahtB+|s!Ft+FK$pe+EbC%uf#!Kh zDj9fVNm6eWTwYiBTGmzj9w6HueP^d>2dYmFRhI~!xO5daL09o)Tf7i zs?H=K-c;+92n+322pGSBb*xY6cDLHnNGLx)w{&c+XDMs$K&4JK$PNWG+)V2w2m=*g za{N5IeQ#ApM)8V6-k~Y4TS57g>gZG262$DHI?IM3x5p&*L_|Cqa{J%$QEUHu9KRp- zJ1qdX55@|ky)t$lP-ob>1YFn$V+Ifom6s{0$--xJR{^S{&zel@%U}KL0& zgKQEP<2Pu9EQySqlTw6;{GxPrEf_|t;W)DOwmz_XhC?KY=n|x|gu$kWEP$2_{_=U2 zL$yVg9?Hh4NBB(*HhjUN->b3hxzDxn`ZgrDgq?x@SbyrJMBrfDftE%7ys*Z6BOTfU9}^+t^QddG}Zj^cmZD-P3bgy@8487 zCX3P#H0po#*mkYcT?fc~aU>c%%G<{nD@Ll@cobT`)%@Gb@GvqSJJW&TI2&7gV;|#& z+i;qLh1K{XG>n~(7lGO2spvy*&V?R_2U$rM&GX=EERAr{-ZZ1H&$aB&F z@}nA1_Xb2N9<5YGX@{3qAXD3$&?j_+Xd@#QSRIBI%v#a{Ic<5?Jb$kn+mH2TloZy` zr_SwH+l<31-IGG*g#KQq%DIiz>5Sx3g-eB&dhbl<{B8Z3e|A7l#FZ0|!mai55!_5O zsw*kQR^*TFc_sioqQio>o5HYY0I%e0u>*qPFV6w1r0+2 z6fjvQDvQD*rc5a#WK&BRX`O$mPo)XZRnG+wGf~K;~Wp=Ormm+_GjGdKuCg9 z!aXJWN7Y-tLcL#GW70V`bAdj#HJTfpvP6-OsC_+tk?Q9*q;a##ueSzM(?$QbNt~-S zJ2W*b3>zu?w!Tb3cYf0z%h8TOFh|y9mvwBbM)1S<$_v!WYTWkoez$}2xSGYk2$|Uc zP`Ho;Jy@WN2^cC6_81HklnY354A%E;(_i*8G+lCi^}Ne6z}PaV zVZGVjK}iXEM<88$68NiaJDoTvP;NS&74wpfNJR|C-7me{JNCJk1Ix+DFakA=rRNc%NzW*r9#138L#SMF~ zKV8m({ixs&T!6(@#Qo0Zpiq>cG(sE^T&i9ROSZP(e6`d~oBA!b3)Z;dD>;Yd_0f>h z*{;ICX|e0hMO0bl(noTmH78JC`dwqu%ckcjy}W^hAVK|}Ppz?@fycyJXD*J&(YR;LACnjJNK?HlkK0; zL4=@ue$Os-$RsDNoF#f-wZ;qRaXf)^0q6b2mcl@3p2n0pW@qWhj^Evb7%y_e+**ps zQ@_Kq znqy6Aab_d7tUtKnVLcc`zOL9irbc3&cf(HrU$pnmi{E@2-V5=uB;_siaoTTLkHi<~ zUzs<{G1}=HR~APi{{q zi#w73gzuO+d1(@nDLA@C{rFI5jA?myi02W<7xIU-kxxvJnUni#45Ht~I~;b@*Q_$r zi1OvCX}OcztsK=vj}2N#Aw{g}?rgqd)0sNDNx`$<`q~#NSp9}&p|_6pc01p*?`Ci( zWn##w(Y3bH&GV}&BGa?x3bIrdPTu6r*5&ig?f&<)yxm=L9#w8nD@cf`vFz^xBepQ` zlYgu*M}x9Iduz#GrbNnO~U<Y>ot5p+i~U>6#wE3{ z1~1A^BFA}^$MvxGN0!;U#|Ca9Udvb&AvCj1xf+NlKz$E zTfhv&U!d&RL~0QlWd!-yVmD$3s3weG@@r)n0=x}!vcHOK2zN;fhmlZu!2GXuVlev` zafotzMou`=FP0~ej-5I7k6t>h1#~(&+#k&b$6;uVXA9!o<6OpQB+)3C5iqC-=(~^& z3|~-hmZ{Q1ge64@FblwBE6e#q16pBSI^_ee=l8Yu_G=sTOah52TVUz{{n|ooLmFqM zk$nj%zp`d%#gk6vdDCv!gi|9Va9?Cegw4y*+lne}r2`PhH*mTbsN5o>@%Ww{c&^yG zW|XG5oAHc(8W{u$JsEU8V)pT{;eYFs{)8MF!eRebP(E^*tf;~wc|OBN>cS7iUd{cCBz4~ z*6mE4x3qH)fQV6_+8s0W_i6n^>>}8mTS5gI@$J*5n1|M)>+JTuS65I!LRBFm)GI-7deKTVAdO1J@JYNiS?w6F_I%RqzP}Pw3OAwFvc-^m z!uuz^nczt$wc-9IjJEn=bG0cG)r<7+A?Vc6y&zd}k%)$LfAMxD+xV&av+d>ca(Q|^ z&k_-uieVI11yL!4wRz$Ga+?USF!AfNnnhc*_$oXv-U}c-v&gd zb#m6)(`Jy*M&2dNcfv<`>k*|-=q3ww6AS7`1C5AP4dBJQ*xmpx>@++1?*`aRU7_^7 zt^As^dq_!Ww|QW_c^FMS-0aAz7wB~>BFmAnt>HJ?YiiMSYTT)sj7$Y|EAZmCfwa~} zq?|GK*11Xk?V&vXMJp;Q`qkNG2TSQLwqr}W>iKv1rUb9Jz1By)>F*_C$<<~@$BAiW zjAoj9sjewI#&q%Dn~F1AJ-Vy3o46{xOfwKYh+jCD_W^QHm-q5mUdvQNkRrs(DA3~* zsZV5zRNjeO2LyUY>&LtRim|M}-umw1m#s2NMeG$;PPQv=5mF;5xHyO9#~ja)C$gYvr)>OEwwyf-g&Q znqMz6DndrDuOJ4%H-GXKMCd76=)Z>0d!{=QhhmODH}#{*I&qQsxhQOgE7et_nrsM7C9^p#@(p*fooQ$=I(^w_SRA?U=lx`dX} zheu2VQ3>`e*Dc1&6}%swGGg{vzMs#_x6R-$rT4$T$Ffn{JhR@pNN@v1^7Sw8YK-pD zG#(;Ixe?i?IG({)hmb5-$+_Qs9<+hE?vESJByrMxTo3+y zMOLWE*N>dm?<{pPbqgjfIo|OIBLft~`*aGmGDuXD-wyK4%e;L#SFlC{msnwX zrXZt@r9XT0)|aaLiqA*8@S%mAu!nyE5CuWyWD>#;SfWZ3St<%7e#sBhjcXcFSYtsd zY?~gMvcmzQI}S0RyOQFz&)keO9+lWRj$!bT2qXYBLdsUS7XPG8A>`A=4M2lux@;80 z&y$j*WPT!PD7hr?Gf!&l%mts|@_^+fJ#WqVT<1QWS?}uq4pDT_c_C1`XM@KExQ*s| zt*%hSt~nt-`E4cT8_|M^%ppR(qiv+P#9lIoD!KimI1vqMr>oB5!8N0oCAWQCFAn}Xv3dGetf-_y#GBT zin~p5!gu$&3S$OOe1(nTck=ZqQ@jW=e8p>Hdm5}@!JQ0-Myv8KI}de*GX8>O%|KlJ zUyZCahZ)@K2VjWICa4BftHo5NPI@@TgkEwnM!8j}R(kpHEOC6ZphWf7BScPn4fQE7 zioZG|9Q?jLF<7jjeIE|p8+(FNX=>h?QIORSbqq~cnIC&`V}W0Y$6CrNx3!3?Y#Qli zXX8d|wQAY#MPYGE0m!AbI9}45!4Z9S>2hz!H=dgN0O+0jG`9_>B9TgQ8Zy724wXRI z4pDifh?&RLv1eCMA{JAX}y%KNsye@8eesg>&#N489G&xbbiqANsC#@!K0H1a#vl?R0zZXSO>0Zp*?i+Z)9-=V~^aBj_*C{nqfcEIB)yTr5+{d$$wJ zU<9@Xw6xjeBJ41tXiB$S$cJRERrrrXi>Uqn_jLOinW?{BU3=FoFFy2i{{;qWOlZHNOU&6BW}yH5@S;YlVyj}e-%v-Y z*g^;3yv}8dwnIC#GmftElRekR8YcKE2}Z{F!X%g@{gK4Bf@<7>J}vA8>9?F2;P}D? zvBmoxj^Rxyagb%bVA#a&qVeUsl-H1dpjw#(yAfej!ui)>)z1uGVX(o8#8M+Ru7|w2 zL9V5=42;*~&6#ibo@{+ch=I`cADFMc!Px)4thJ5@d=?I%T59EGX}%`Vf75xxS?8H0 z#07SFkW0)*nsz%xmpT2m+pY!n!57b#QL+vn(;2$~nAuCCq z0Yt^U5G2Z)L2AEdIcv%-3CRcVRdpU z9%Q!+$1ej%ER#`Tjt;~J1`oo#cq&B3Nj5Fom&+Itx=Z`H(;W1?co3QJ$>30aD4TWGADQ za{_QJD)d;^zwo_Ym2%Q(&5h9&bKR&Z0Qpvuv}Q6X^hGl5Xq4+^NCGq3g$wH$;nsFa zeS7VILys}p@ft^Nnn_rSBaUfHl+X+q@)hv+)9d^PQ~`)xgC&O#TUJ-*U;fSBr=}|dsfjq;a)Ly^B zw7m%E`AK%VNV3TgNF)=)c;(VY5KqwIGPI)rpC%YPZ#4&7z30e_?DzyL@e;y)^7~2V zwFd{v{l&~+#^+#G$W9ok7GtJ;3frhKe>9$J5a_2$AG1q8$iiZy#JR-r5MPAlpRBku z*M&Mb#OQJaS3pFA)v~a1&IlfqIX2F$auz<*v2al(qn5r9YQc}P5;f@=NpI#$itv$Moj~erm_U^*cK3m$6)Fd4+mox$!M)mAt)GkCAs) zI@BMZ>-ci2tPt2wn&1ur#2q{v+JmZ&BeiqlSJQ;of+;&ZNeh3t0!@LRrW(Q4q-bz}{C*x@l?xH2Pg48cj4(<6`6IEw@Bm?=_U4l) zx|y^%3tWK@xSN|U?1&Hx_YLc-_>OWxCmamSpjVl-g3PIU-uuJ+oS|^oy94?+?Gp_- zh%RI!WRn(+Ow}aKxCC8{+}VkS6Dg4N?7w@??dYLjg?t)VQ!}8ixPf%{fNLdyZ3-^C z$6RIw%rwm8R6}4)ekdQfCk%<-@lAD|bbt*^4#oA{;>^T0KCGj7!u#AzY+QpbSmn=$ z=DJ&Hm`lBW!u|{%buUu0#H4yA;|#ZaiZr9s+mr2WBeM7f;?3>+qOTFR&zA)jC5nWk%~X0BlECcQ~4g3I-u-6NMcoYs`cd1 zDD&OS+kFKb#=0)`cSN^sLzsDb0x|x3kqJ&|EfE+am_GhAN2++?6U-Wk!lk~BF&$5h zqSm^oEk`^YYQ9qq%Cd8x1*L4ctC*%G7`gw8v=4Jxf;a;eJhiadr2IAjwfs`C3v@-* zDGee0(VDZ0E;@~7O>fEc%!sSIE3H)}grms%q1~9&0xAFp10Gq=6cXLD4TwtcwglD3 z>cLXH)xyQOsXeCF6_^YiP{dw3`I2OH^JQ+DW=0=(EYK~gRY$TP+G&Qa2Zh}s`aOsk zSMVn2{<~$RpLZ2hEFKDwW7ihqamvPWbUivldhxYA8*&mr`3`u^*regef886F^w&G@ z^@D}^nw#Yw^;}ajJ)jNW%N)Thy38*E3{c}Us4ABp_!S(`ZgX?n{AS>ku(CDhK_0rJ zSRk>-ICI)}`!S@(OO{HAg!E)*w)^yLAj0~UcdsbzMR4%S;Ed#dG(@hd~m@9nGBqcw`M*iDQAJd z1dWFYl$c3JY=LP@AZAD246EF>Kil>4=f^>87%+h_v2lT$acD zQak&*R1^-NU}8^X_EunUoxRS1QlM`2JL@L(ik@ugMU+wMlI~a9|=KB)fKmT%vG_8gZjc)CkqWV*i4kKZlPWdY2 z&Z)O0pSKy6kX->Xh%!zZW!obwFS|Oy690J>w7_~M%xy_KLFT`hDXw%CXT&jbs+)?P z6jw=sh@c8e_Qy&~YLXC9gu#}-!z64iXE095Uy|K86B7TQC;U{#yVQCNSX@ zCa~YYeFOguLTiNyB6%5L%?Kwud{jS(wf6#|^S| z)1h(O5{97;qPZ1kR@)L$LI17%h;{e}M{?s(8cNvXo-t!!VmLe zNNIZ_r=-!l`YC{WVa!@tzXvG`C%j1UK0>`7K@bai?F#SbYTmz(HH#{7z*zT>j0*VgDPvCoEUJ5UG~RblhOXk_AeyZuFU?YIQX(pWd)W zw~Qoz70OgnpA5!9p9}}B!*-L~`HZUd~B$;K(41yjh| zo>ya<%<9lugP7s;ES&BfJP+I_^1V>_fb&_TjcM``WWFxoduny}WED91YQJ95XWMV| zR-BY`?-wg0-3Q1<`}|4ES$#;lU$?#Q+ebZJ**^LCDdAI^4AA8&}mV~P4jGBKD| z(R$)8V;SCTK1k$bhA?DQ zoErOL^I~3lFhi=dL6bQ(0fM=HLND0+xw8+dQQU@bdkDu03*il`t=nE(zmnq2M2!K8 z1f~I)+4e6_uh8f7P+5-r4(#F4OUxbAmYx~{24$*?K7r8eMP)alF$P3MLLIo^G0`iY z%1S`@R2PL_iM)O)#_Gri7tTxUZN&TNR4(<8V)i(q3q5I>;?4D4y9``{jnTh^*tK4tAA0b05XxW$H%0_u9A z(#(qZ)QmF$;T^o#PnFTWh;h+WOT$RYz!#<^3IoSlp%TWpAJ8=huj-po1sJe<>tNe} z-o2)3j9-wT))pycJU!ZjeD2!exVNaRUNH7;rAH3tLLj0`?y&!@Nh4$Ia3f=!Z*afC z`v(6Tf^P`FA!;=;CI%S)Sg0lJz6AamAZ7&QuZ0_$NZ!?Y!^2H-Dx%N8J^Q)EM=j1*K$KCzNXO;d52UXtrlSl(26bQ zWOkTieMOzWR`ma&NK?#4j-waus&!05Dye+P1@9OTNGFn#2g~q!`=`SKe%eEs;2aGB z5>;hjn-?Xs021+?445-WOaX{b_U5e}VNV?BTSs+LFaHY>|WViyw1}&a8kGbYX)E$2~yko;6K$G z{u_;&X+!Mr9sK^)Y#`%;oTmDM+*H&z2NJ9H{SIr79HUO_*KuU~KyBcNblDDS42tb? zuTRyiSw0q51dSMWHV%DB#U87djn|``s*2FQUM$0~A;a=Kf>k8P^{)^WP$J3?!=7Sr zdC3q<1S%fm>W8eP8Cw=&#$3TaLLWjEwm!`Hq|SvU!{5$tq85$B)@6rIB-@(@i$^fu zhmrOsevEgn3kxRR|9*PNVd8MeVUll1zajgE{2PjID8Hd#;t#@i3;avEz}X3EWn-{6&!cLQ1alPR-a4rEJ>y z?^WA6M@}(T1Xb-Mmq=?3=(p4+`&|Pf=WW1?(Z}z>;3t>ZIPrWd zzxR>R(?^!qoT?RJoEyJz^JQYM(u13R%YQ#E`UVB_;yGhHpyn_mm!uQY5>s%TMEL`U zjWeKe>q;eXTykgbEiM%v3pd0Djqv62m3YW&eK71G0DDh$?{2K@4WM$ z;%ZcIS53$S{YYjCjv<4+(6GtgfS3n&0=WGEYCO~qYW1or zm&S|DN#!_zgBbS(anK`+^Ig0PoXubJ^PM`TkOWa87 z@*RYfJ*+`jg?hK`t;esNaYbDXRWac7MEE8sW#k;8i^&q4UkW`eTZ+6as;45kv-AFP z8AiI?Z_^n@XI*_fJYLdG&ngf%l@m0M+l|`!qiI_}ZeGVt)qNIpQC2PG5ngFebI07( zN6e2^F|Zv_9LVkdZ~+}h{!<3&6}8Gu12q^AE@gMMiFg`I z?Hk(wH1A}#MDp+CgitWud(8`Jv+DREhN?6kfy&L)NMv$(DNndGeDFFEW(`YU<*OjQ zfb$X&vy6|c9szapHe&U3?;hwYevRw2XH=O5xLPH+w|@%{=0+!{kY4e_>l9$)TiWy^ zT#(%lc;KWirnpY-N9$qY>6;J<*KWSpzcH@?{E7lNp-Rx`zB0#~JVMz}YvMkUM7%Qi zUe0O!xMpe*gVtM68{>ovNZI5uF=0gOV)YY!;RZgmac&Q&pUj+KaUvqeV$jxB*hTJ%tuKgx zDQfhdDqVw>xgkv=@1hn`SVv2{dHY#J7M7)I{#((QUveaC@H%0Vn272TdCX^>JI4sT z0oh4s9!EieVXUAx{+h~BBx;iW$_swY=NmQ(B4n^=N~KNt&c~8cXuAy>r-J|T4KPG(27N6IUD> z_iCBpU(oal3TW{Dk_t+4wEl4t))KdIapBB)c(+jk2apC_yKE4(92@_x=t#>1kWq!a zK=s+L{OpGt1QBixvkEMJqo{A>nv52ToE*>^VvY;x;lGN;pxlmhi5SOJ3A?Z zscA7X=~O+xGH1I!M=+T*Yt%ESghimhLFP>dC?9M4AaEUeYfumDdG0i`%kof~Aqhd3 zP27ar=z$aJ+9PEAC+x@{(ZbgS=p1dzm~l&SSMQ4Sd@kssj7wng5;Hj_L#`2g6UICB<%lu>+oSAr=fMgS>{*u{JeA~$D|Abm0;k-wqFd= z39ek;kn+$3pZ|o(;ht|EK{Zv%`C1;7DmkD8He7)_H@KEp4(KnD(kbG zYJjP|>R$r;=ILLtink1|yiWm?U1l}{Ed^FBGn)e#;AN!^7s(J!n=xD^tR zsP={uvv=kbO1NGwB@WnbWXzzoAI#(_iyxoKw|qq%sGI&f#88VKTwmEtf^iZVCMV%zxM#2HN!UO4x|HQ^rJ#q-Qn2%%?`Ot>`e|a= z9YinC6a#J7KSYjQ=!;v7%HsZ@sz)|Xw`duH*6I_%x73WqWatDPUSiVPJrauEaB+eqh zt1$5$1e!g;UCx+9HOP0Gd>U{_bx{4501%g1UC~DCDpguvW+}muv>eYqg}{7!c-8pp-^+Vq}2PL4^I6>p^1jvd3L zW7$rk}Dx4K~#~Q$MhB~x5(-SvNl&BvNdN9g^nK){~?DH znlVf#S`;Nw;n&%$KC>Vu$SrTCA~mU}p_Y^l&1*Jn2}0FAKJxx)AB72{A+GBPsQ3(C zI>GQYZsKcNOZsQp@)RcEN`10S$LW{t7*s+>U!V8>${YwCH)}7;L940%qmm-rYr4q6 z@WeoV{Uttug&)$=jt0*v7(_v!`f(h(f=cGe+>>it2%p}zT%0GNdG^t|<5W}!p zXAj7{NSgsPJAEwzEffn{*NvPVr^E#o31Ex14W{V}dp7?-eSM~4WGG*xrz7FZ^nzw? zGVIlSI!~<01K=~_Znewu>t^)et3f>QJ5ikPNFcC3j|Cm*yupkG%JM%SXu}3`EP($QG;$Sk(C;u{z00tBr@E(> zoSQ1$4CS@Jo18RlxvGjO=qMjB3-O$sP7tFp?S?(5)9`qnm&%PW6|V#)Mo-grOBQ8) zNV(T?F+Sue=_XIP(e9?PhdwIpT<8AL*FGpUpE!SE98Nm4iyrKWpmJoUgXPV$A|Oc* zR)#;;1-P_+9x>vQ0BT}H?OJzQZQNN%QHsg&ULa9>Xi{fYjEC{ZGJ<h6=EsZ)c}FrOuj_Ukcy1cv46($5>0?QqMu?aB^XiD?Oj)VwQ4=EXL!E^ftW-@WN%NQGwEp>0wi<4Gpd( z%+u4c9e{^ z0_Z}LcugzVb3mJcnQy%6UZp2u_7L?G52lFkTvAYd3pnq|@y1#P#34bwBYtUasXAlp2F0x=UGydll#SJP}aG>-32(! z(-6%!*GhLw^aGeb-G5kz>GLKu-#`~lQAHJ}tu?V0n%g=?M9NIg0kCo)?xZQv0Eq*; zV#V>-A5O!J`TN$8c9}qiNI_SOM&>N%=fgNq=M!}S& z0_SC^742cS%=CcLFFyF4z<#n0*V88vmvXC;P{?OU$zO?S6O|CFeV{jBDqc1h z?ODF6(582;=wWI;B-#7M{6_-;q@e>n28Y%NTs*yS`nSLYp%|UY`v;CIX|_M7EvGvL zOhZLXko<26OU&Tz@rY883R8}O^No%5#kGXREh>C#MOZ@t>9rECw!kFyQ$XG81HG#9 zj6_dVBb}%94QtL|F`!3);>V%5W$fCu?452~j?6p9BsZ8^NQYZe`1ZJ>=0nerejiA`4oGGz8>c4~ z>xAnQW>?*R7p?THzzJmw{n1#}j@lrW7-TjszAkeujz`6e1oVNQ{Y;rqvOx|`a^|+$ zzm*QT8E3bUAr%}B_7$`mDtJhjq6ViFK5R zd-zL1-~FxAPh!Ok)e9ls=P;Jqad)a~a}rtaHr6yiuG*cbYG@kDT06pYFrtkIeGd|K z0u83!^9+g}0}vGQW@Eh8Q^jjzR#_ZIn>mrxq7gbDd*xw^*I5f9qQ1DXQ>9UpHR9p3 zb)gk^tCWaFffiI^d@8+izTH!)@gbduJx$lG$^7v{#bFCks58i4F32Bw+EQA5`R~q! zPnoQKi`00n{sNjg&amG@0dv^tU2!ulRQ zy9c{BRfmpM_X;>0V26M(?(8Ijf8AfP+%rMF8aw@>^~H~cCNCH%d{~WpxNV2wNuL8P zyo%6kvU=O9s>bC<9dn<#4$mYG&Bdb{9n9Af{C#ZQ?@|BmREzC8a`WM-w^Y@dvmD2X z$`SoZWPsc@|DcG+<+dDe<<*!HJ|J`Ynfs06k}>^_$$wncfoqpeS-jZfvn>IHocI0q zcj^NSLq*HI5*dkMWlIQ#5~zWKJENvbT%3)mf7*#9Y`7u8fVFOuU{BF`hD09Z`M} z0)U|`K9-~dF}Ss?t7sPoe>B@}p>*7r+F96KgWRbnw=-2hIje?3fux54LmrSf_;d6j zzV(`2(zEN?k-i6hmmSd)taH`pgk}eF7oudj_@_qDFuii8oYhf1OG}Mag5*#6?V9(5 zBp9>d!gmiNYY!b7lRTJWJ>02hGyxUF8IY3uBjSVHOa&i+I~g@*S$`}D8!>BQk}5(d zgD)VJF^)UT!tFN(Vj{8jdhzrG737-oCw%+t!#As;U1l1Uka@_=E<6aQbGFN(LV|M> zp4xh?e`fOIdWWZ>?cwtzhtc_q|NF73#6z7Sl_In2IO&>?UHZ<__5;@)N`2d4chhjz7n{ONd+k#OUIWnRDvQef)^H`wZN*E5pk6!u~ohp4`PZ8 zc3AD==w`LeGFBQ5!KB*&gdLPYG{DIW`O!~O{7{O9pX4Vo*)C`bY72`45*q1o9uI=- z6zI&qRX5omJoYR`iGr;;5Wkv`OhCwutadH1L)=7&BXmK^De{C}*Fa?x!gRp%hpsU} z7xPfe$UGnbqjK{g1%ojOrtQpf5*AU15E@i6NrW*Iw(A>jY!>1W-OCP*^ zN7QR!bWc|PW}`EeK1Ap9O#@^+5WjD3TvuK?Gx%M0nkQPSakh6*%G=KJxw(q$7RiXJ z&f{C2_ul2V1Sgp(qn~O{y0Gn?px91gPtKje)MtZ2L39sC59B5>M$_sFCu|WFc(+$~ zED+YW{0=Hm8G-yTNrS|^d!J7i34|{vhb?woMN$^j6CyT44yBre^Z}?4goB^ew@@?| z0xw)HkJ`4FWAa1TY-TG~xVGg9BJrO(99-^ud8S;%@n>EWHXKrO-nAw7fp{v(R%h6T zEBd8LqK7&I#O?rxnhlxY9eUMtoZ}-F0!s+~#?l|K;|Q_Vi?3xkFe6tT0Vw;}T;vHR zE8Kta)-q)~TI>gV=m2u}%x+b(udXHp|7-|Vz-;#jC{fFsX)*?|YclonWJUTdv5-)8 zs%m~KDTelU?ssKHviA&7>s(ryN!my~e0f^R4PL~xp(1)~!a;r0Va&PFI&p#n<%-2co!FvNZxUchFQ`2Xx zLzX(1YD^#~^NQv_kJCV(pF*65E}A#%WcjrUO?M;^3X;yDb8-tzJGqQh#jmUdQT^(h z>2!-y`z5&#&;wwV(8nalYpz+=pE}N}V)|tcTBfdA52@ljFLP*rHOZab0%%J_D9e&O z=8x|L*+Ad|ov34W;aq)k*4s$*Y~(Gzn!lVAdAti?JpK=uKxe-TB>?3o-^NbfEK69S z!eZlygB)oT`t$F%YHx0WEzAbc9YG?BWQrxUJu;;QIfciY@ipuOziX~)m*Fxp6u0^C zFj)$JBqF5Owb{e0S-fBUeDTBT;_gBLbJ9^xjC=$lma(%rTwK4G&KBrDJ5u;*;yjpH z2PcRUcoxpp#jh8V1(QPo{ltky8cT%&27W4{1Sm?1$cst9-(@H_RoUicr??>xCD(_j%PdOv!GLqG6dbT-)PD+((Ijh?MUD_aaJzCnbnM$T;k!810cL&xzt# zcrtlv59#aAh>s?J$QXY`&sw36l zaYi>B5wfDGXv&9fc-&Z1ddo+XChYebwBgNj{||{IWELW}HJ(7K1yWw3#abexv@@t-g|{|T zSGy4+6t|Rr7l}w>WHSfVWkbiDyFR2Wz^$FwwRw@ZkBc~Th2eOq zVkuHT#TR&Tj$JKM;1(H+ZG^%PRv{28V5=t5G#kLkBVFHE=<5y+sP$fb_xPLOsMv(|Vov2^2g%t#L@Bpfx zA;@guG_nzVgs~?$V_#o`)!)D81S(CY1js{5rvy5pU;?%2aA>*q@u)``^|h%OczSC~ ziP@a;RqBlJAFVT{;lFo(mX{x3j3h;f(|kn>N0igTaKf3})%PFr&GBO%-}#U0k8PuO zK^|5QUUnBW)or``5P#I$kD=cLaUBL9%DcPIV3tDW@VqqynAfb&z2kGMwYL!Gz#%CW zsU#kh9Y_W$r02&62+K^Np23XKf}M%JT!taN(SV9=LmwCupPGDsfOe$SqscK;EfnY1 z5R3OTWa6)3ln@8eaI(mRLnLf2ga6vkVrh;bJVr$9rj}Wo?9@BrI0Nf<+spbf5sT+9irpUE_u^tf5b<@zmq-F_NpZIdf z%C0ZgO)&-CfwJ8}V7mi&S!;TNwsu`%Pb4myyzMgf5TvvBngc5PhF1Y~Wmh(|vebG@ z1$^MUYe46WbJY3{vD1N%F(!#?#wBaiGzPlujux)q4LADhhxS42YCeP+k@mPEY+eH?VVueQ8pR@)*@ z4h!Ve7h&XC=Kvccq`{7G^ajBUq2mVo0Ek_Wv=J1UN05Xw1~;9knN>#a_UVA6r2fvtqIn|&^-atuf`akJtFa}I^pfq zCc?)E!)8mugsHESsShJ%P_o19Q%4O$8t46qc*`(=pn^cj><_pZK*h(};3R_Q?sJ1Q z*lVjf6l><$)XwmPWg+dIuc>u0^Ye19`WUpB17N>7>&R=}W_j7SSbP^asMxzkkFy7b z#kwDVU2b4aBc_E5U_}Zq!fM5jZ0#~3LMdRbITh6R0U(refS-s|r6fiq{PD)0eE`-+ zkVjXT=uAMw%g`PyiY*F6fXu?SWUeh#`vWIF0Bm}|X6SLKHRx6e$6S@VS7$L7MrV6m zm~4;%<4u=SS!$>!P{9zO(}3`SOa;TX?^IlWFc8&vhDrvlDtt`fi_{u!L`|G6IRfmLdjcO@w6QGr@Vh}v*j$y;*&kBuR;i#>8pC!M2T31Pxqgm?~Bxb z^FP_dzGe>=1-3}ltD0DF(a?4=5U`BvjwqM5(GA9pt}lI&BJNro zYkV5NMvVdzDxS}U-j`s^+^+6&Ol^jLPu50%VQ4-|9)%Kx-nS!&kbhc#inr{Fsa`JY^sv8QTJERd=6{jfrb5 z?Vdvep7|G`bC=s1_bGJS(aa74$i5JId-YEoz!rfgl=Av60ZPy)NMBm!J3$yh$&Wr$uV9c11w{SW3%3Bd#K@a?g3P?w z26FH`7_`)~7)zwW#UB5Cs=A7lNYkq(Zvtd4WOcE-x~jW&De!K+!29mx&zC2kJ{#$! zB8^n!U9P-Xd9g`^Nws&m^sfAKI}80LU$mQcJDaOG@qd6<;5+ogOa^{g-O-1Ci)JJv~~%Mg@M1S$}K^=%Fa}O=-=mMTQerRMT~`I(sA8wH{d=>L?pEb+>0nRFG>)D zka5^cF`$u1Gfh#WR{K zBhrBN_zN4E)-jl<4E+*~v$4NJO3o1W1VT_PjTh`zUaooB<>?m!@}jYoGxHTHd$zDO zen|Yf-2t~~323-DwP}lg!fx{7mWJg_gSp@X3E+}5^<|biUx43l+HPG*pO+<#YkpTi zEW6p@wvV%j)`cm+20TqmYqnsFvge@fq;5Jna<#iAzQCeEdT-7G4^F?_HF;V5@u}hB_3fe#P)&@Q6Y5tnnU0gGC878Pj`=cgmZBQyxTriYQRdp}O$wo8@;t@mrlh7z8gfHFhY!)a8%d^1M^}L%)6o_M?N; z&o?0v0a)5)zQ`JqZDi#t zNQDFp#|p6Gfvt=rh?Iz@>__i3Ce(r)>k!=WFKVrP0)3sYXOvE

JL%xLHW1CDFnr_T@&`8342ym@O=8>+DRvWzAqq_`A>n?wjv5xKUmaV2QD&J zsr}KR)|H+1Sys+$%Mh^yz{Qhe1msiRSYexI2{!rGie3OHl(afX36(LtB>Q=s1}HI(N0&zI+ALLynFUw*}t-8FB@ptlCbICc^(3ywL{Tl#Co>TE>pCnozVE zLboM-YZcfcLG^geE=M3X8=jy9Lf!*ixoeM;`&xQq0T7RI<2EB!>BAhITo2jhGB6^79JlL1RIPpKR_5LOVL^DHD*vD55}&{~Ete+R zQ^PW5sy%ha-5OV!=!kO!#O%tt&Tm|Qgav$44!SxKXW`RbDV~8gbkJ0a_6Zdt@AHhX zT~Ne5tFnv!TV|-_PwT8&J~;Xn(_eBAn7d$c7xDq35&$INsTraFc6M>`tl3SZXnk!l z%JceO8&@QK0ktnbu*t_B+~s!Vs_D3&-#}%!?C@=|+?GqIZUkiweeRA~YPqj}cz7#H zra5hVS{7;pW#PVsc$e4p^$HFXE3S#M5E6s1FAHC5yeS9{>5+{$DN~rBUr7P5?26^H zdBjmfSQw;{!qNR2d4y65vV;HiJc6UXvndzu$$0BuIIAd!za5~RI16_S{&3o%J2M$J zFL{--Yx>alH+$jG2frz^c$!*&?CBn#ScJbN7RM(RV}JHdC5RJY_TKVU0eJdviP5i~ z7$xIA@>_nlJIz`Qhf_b(nE)KF=@j9|qHlHulH)S~)HDHroCrdl;=(}fdzbLxVQm@e zIt?^SQr8LIQw>r;4^kjRxE=4+&=`|=pgghFLk|J7H@EWZFRoQH(5mu zn&FR$h(V7j1K0h*;Xg?pfVfetO!Q2`B6TEU-5=((HyfRQ8i_DTyCpeq1xNRVu6ZesX$o<9!{Wfh=L}i>6%H z0>yau9+n?ylQ1Zf1Q=_|d)fCl+pgTn=9U&a8>KwR(s41Wf6rsTmrW&T7j-9lYCX!j zrq)LGdTZU0jnWb^zbVapCu&))Wi8bc4V2Bs&QvYxa8QK#X3b7i%P{fp%0~7(y=4KL zmx^X@mn-mFCrVYLAqs3T zEDN0oIKLh&T}#uOmioPU8!M4hQld)=XrrA6qS*~Se=2A=4OVMq^^kmjLvo1?xzH%= zxUk(T**5?BGVjtnNW;iWlOkZeIGTGIL`Ig3`RDM+Lk@;!5IX!A59Vc14p{mHizJSM zFwbAb!>EERisLNis@PKEloY2dKqrl}AcnWL=k>LYp9DPjQ!RBMW4nF8NWd0E!spN0 z+)#*Mf7c+6;Q``xu!TEv`OCuNDD%u`>S-^CgB34`HJy2APRs;p_BJRdWB$kgTo71+6j_d^tWA}X@9c;H>j9Q3l8~hN z5r~S^@G71=VQ4{BHnd5xYCOqssH|%( z(4&*&CdAiWTW+e-o?|?76lxo?_)MY9CelIEsiiUnY!cSmHcH#biad-J%KLdm`e}#X( zr744hI_llFWVq0mMwlMA8(DJnBLN^%*onc>w^3+A0RmtihA?T|3@vgqG|0D_K$=w( z(V%CY3eBHQNNA@L&D_keYSZpY*`S5M>zH#?V>!#QB4r2+;yk5dH6PS9(TR@;OCgpt zQ+@z;;ysPF!a^2WXnrU_N2(b>f58xdHai0Td*Zzo3abQ6oRQ^Y7K6zPHvbzWA$n^))<E7B(-%_*nwGCMjLi4dpACg|-9Z@t}@^A`C5x*Y!^0b&Goc4r&jf zoBpp+JBav}c>Q}6fA>D0S~Y~MPgyozvAaPWbHd85CtnazEqf)J8@Yco5X|2662Ok) zZKM60UIJns^P@MDZQDJTf8FLSeZrFjDns%j3!jQ^?i*`{|F3htr-sf7JaZCkEbpeE zmuo1SwwyOxc{_AYIj>FGMP&c@T-iTSg~x+Knq8F@Zc_FwdNN;F2szopza3?q(w#`M zb8|F|1-1`f#Cm$-Sk?{Xd%1D7&_H?nq~W<%#+Jl0r;l-Xb}Ahwe>qN;Y&Px$?M8Qa z{3NO4plqz&@gAnVB%95tWKxD_IW>!{sE1c2{Rct^gLsgY4Haf>EWy<_TiT}LlKlc5w8>4B=EiL{Ry6GF9v##81I??y1@+x2@DDL=m z_Z^SV=6fA4M(t3ae=NGz)(n3P@}aE9Pq#MeMwzxPR=&}GaLXNRP3naj_Q9zn`p_z2 z_b!bzkJd1q4eHk7YbpbyT=R@QIJ`Yokd7vq)nXeVP8Ay$JDJ*x+Z~vCUO}f9P`J=# zEY$betU$E6;xQbGMwCx1VGtThHiIzNNc&}_5qOpS)BH=?JD0b3y%azYKD*icw__3q zJpJy!j$i&p)%U0%4EgveGFYzCxcJ7mL@!QK{hz|1<}`Ao1u6dm>-z{hm%*Pg6qj-p zF&CF1iZLpGSW9!;HW0q+SFqEIG-JU42!Nz#dZ;r_<0N%kSv_nYPkfkPwa;UcJ3VP{4zM74o=@P;shuVR5;Ux<59iI=IP7Hq{S2E0{dBMm> z+>kT9hMt#87BL(N|K7pK4SZ*-N0)FGF&zOomyQ-O7k^NjOpYiY-p6T~TTXbVI1;82 zt#u`?rM>WxAg%-2BN4DCE%3ldkJnbT*6;~+IEOX(lU{()93$#_duwp!A_5j4wTLxF zt>JU=5@TRJctISihj23RRGTxgVe(ib1$~N`0I`8U1mdTo^dqDRxP2Apg-kxj8}s^; z`GatBj(^nBycLq?h=+k=LQnv22Lx@>tZ0d1l+oAA;4K-8G~0&R{9m!=u%!OXp)LwY zOU7SmX^1FH43RD@BP}zv{S_Uq^0eZv*JTn%VPQX|$?CyS+qhWvWqN4-@;JF$SwWY- z+fVa(CiDFD_LCRnCh0Ah|AW*d;y=jDS{Q*c0e=F7tEvwa3N5e81d$rq2tzItRMnL+ zsILmkm~rGoRn~e4B#sp0+>ANK!wKM`Lc_TQx{v83G}L8M0MN-y zx#feKs8Me^3SdnGJ*CD_ASN1tcA(7F5Eo!dJ+vI)DETLbES6RzqC}|=IH=SITri>P zFj|dGTF}*q8k3qD+mCTlR5mGnlwF#y_rD@$vetWUH3<_7vFRu5x-zq%x+qsGI~kBT zOIJ@BRpD?Jt|e%Yqy58!MJ(nkoIeVEy#R5_p2kgv38Ddi6nu|amwXs89)EPu80`|s z9}42^!OHdQpyrYUd2qJ0V{%)GEn>)T;d)b*=?XrU>DCZMTG#DXZ8u4wD4mjfJM3BI zY3xFaeuhO|JA#Z_6*TFv%ks-^&bjHaU2*y~!H@a&vQVwadzy+grPR zu~L{ZRfk+-M~kEEsA^o(jDO-`)Ab0`^Xx;f)Z*B(rps|>>(|C#ww?V9CwDU8;4$JN z31?LX?80eYZ9+U$Cyi~G6jg+(F~twxaeUJ;wSdo3i=A6jUg@3Z1nBgw-&BZTh6MCD zc0WwB^5wbPaqGBR^UxvXT4r&$GV~5}0fjs1J(u_K9#B2yO1;>T-hX@c#M4evDs^8V ze1CpXJp6fmj(g4IOY7V??;d?OX`aV*mRDu1&f)Wq7tJ8#eVw)91P+ejyj@+laV9M* z@8kv`tEQ(**_R4MnBB=@uY#`2+%jjDvk7;4>2{z_&z>5*Wm<`}scyx?R*+SLk|Z)J zp!B0%Tzc|D71?&fG#_hAuI!)LwkUxE&q-ez)JgX1&u`l8kV%iMEjvsq;+HlWF?uUo z??{9uZe@pCHN;KMZX&2kvHBf*n!%48V~Xx+c?P}ZHlEi|VSPp@H^i6Q8ZkL64D<*D zr^7ePaHDV6ZSU;)Ljf=*SfC0nv}WwC%yd_C@P;DqUTyya3|4!{m%*Pg6qi&RG1Y$@ zVXC{E&PF%K`M&-%`+Yt8XXZk11i2_|r5XT{LTY&yD#z6!lF2R8pYJh(eN)lNpQ8YY-z$lq-E1BzFS#igs z^9LcD+KjMWJHla_+eK~N4WbVs9Jqf=1kvLl_Mb!$0?3f|Cn zYKKN6s}D1DyP%MScY_2Fun2F^_O+#}Ck{rA6J5w8A*0zIweQkE&mN4xY`}lwpI{+q z;Vp6Ox*EnWPG|duE8SWDrQ1>-c%{2a>NeC(dYS7^t3j%}`JZRX8c_&tT^GlcYPaf# zcJNl*g;UWsVlCr|A+Cm1$5K)3^v^9zlr#iJShs($Or*~aWK5m$U9n=vbyw7b1gU)` zo88_qqPftWgHU_tg@#O__EwsVUR{%kJPNX6%CJQTay${!M6$4Qq(?a(^%$MWkv=M>i6mm=crnsr zG^v0z%^ksSr5*Yz+W96MBOF#(1r=MdYbrh>Z1qK^e7HT-yqK)G$;N-=LZloHIa`r1 zC8UYTwtXg8`FF_CtLRr|nLHdV9}L+gt60tG#WnvAumTVgmPs!-h@|*34>=ZbH1tg z;Y`3?I8gg}Jr%}daf5&487bwmDU_**EMTDeGDQ zjA1p*L~h~sb&82fiF~r!&?u1sgC~V?Yqz;GjoVsvqO&)sQnr6Gp2k?V{TEiPM_jKh zx%FOFY5^-bKTCkk6f4V2@YJq)TjM8N^hIjdTAzustmF@o!_go!8E~oHRDhkdzL;#U zvS*vYq2_5qc(IjL!l&D|AM7`y**Re$X1y!|Vm=l{(b%)7Ce4-|`7>MhC={(T6OBE; z)Fn^gG%?md$$@HxLQPeN%sRj87{6=NFsMc@rjsRo;Hg^z16RxC(9>hLK5(+7KK6qD zePwli2L~p654*0Xg9~r~84dgxDv+~iFWXXck9I9rO!qz($^ijZ-L+i1`L=-~p9VPg zJaDBA(eY)~fALtMcbCDRF%*{|ATiT_@{uE}Q?Z7aGk6%k@4X%OzV`^|g$*M0u#ty7 zBV6_-57>yH|Gj~c75GLiMlB87^`T6R``+(8toF1m5rHjXWV#lg?Q%g&Su3j5N{kY~^PVM_ze<>F-DM-H=HxUj zLKd$F7T2lZwj?Gj#ac3W)81|ap2TUX_b@OyAJmMHrm`|s9aX_1B`i}zvh)kK?0J}n|wH0`39bclolP-y2K1L4af39S3qeq_+ z&u)4O*LFb#Wq@DdBm?j_EEmLu(ZSwmiy+H4L7W&1R`%4O{Ur(V!0)JkF@;=@vxb-K z2VDxD@Nuj-uwY(I>%CUR50BRl%vw5jzD3V`zcp0L%vY)ZVKiPUlLY z1?wmvPnUSAPZ!Hk>y!hm%~NinfDkEWGC91RTfLo!2e}%;Tw~kjuE>%bY4q@ow9Zet zS+&uy#T4}?7HRY-rv~zWUpFSIPNAw$^0tZg2`IOCpC|CD?5yHFk%W`vh^%0+y z(VsP4L+!mFAkJbL4*$)mXhIh(jUMmK@IgP8Rm#k9#L_aJ#UU-@OzEV{tuo<)rYTbt zspE3-bT!WY8Q1j1@f!c7GnJaKZthm`TN;CmV1#yW_v+V#>RQ7?cg9;{y~%Y zY^gH5$j>$WDwA+DL-VYfD|b!IS9|%f8g?cXrmTB^>oB85$%?X-yl7{)pld$mOlkU7 zXp#XITBra9Jt87)EvIbuvpDIzI48qwN64IN57wmP9+}RS>38Y4~< zj{=T5jZF9CZvqFuZ`dXxMBSUaF6a@sj@iFj(3SWep|0RPzwd&DTs!DrZLg&THV5zO zn+ZQ?{SQQ**$bD!pD`4dHzqOJe;naJ7w1hMO`Flx^xfdibns>1062mi6xKL=9Fh>F z>%r`bpbYBYA%eX)Lc8XxHS%c$&m3J2ejgBH7&$c1i%^K;kT|u0z87N}L5)K&bwh37 zUnC%@C=@R$mI1JU3>Q+Yb!j8n$PI>DE~?VhB+I&nK{Ka8ZHMcirxB)(e}@1)rm=so z1tAz22CWsHJ|YKLh_T}a_jN%K1*#c>yb?_sAl_z8VjQ)2Ah-^H;d1jF|P2c-U? zNCHjWnu+mI=pTqMaNN_D2+k|NWENI%qy=|V1wC48n| zWCAa^<0u%=Js6OnvE$$vfABP8r6S|SQYMP&M%b^>+MmXVP7N4=X3bDPbVeZn-`eUq zx?!hg-!M38_;uQjI0F-0564c2t+$2&Uh3yYlqH|%h9o5nS$xga5rGMpim%y&QMFVC z9UztBIWH5%MWHLi!g^3YCm%&-hMwP=HYGS=534663z6qyx9@ppe@KAozu?PC9%9_o zq1FWZ#%_ir}sGA!=$>WjG4id0G6T2f%Y&KHd@HMDDHy&^T zgO>q2S$WnM5$&|?%-8z~VZ}no6d36VgV-`@S=CVjgwe`^68&65Mo{dKG^}4Pgj)3m zMeEF&(**2`+n3((^tNttm>YD3kWSw$ugO0y$L zXAtK0)%+;jf#BCA%eT4wjsYP;ahkRmIBFz`R&PGkce@;z9QgjH&AwH(C3L_fO zR95V%`D$$YJSECYW~8x@>`TRp^tPL^A{t<-iywaZ`vFw$`+KRx4p1qn|DGkmw<%={ zldDQ{o93lmA&J5U^ETG5lcEAOsY*fw>??%Hqd_1{l{Sy=R>o~RDQqxpKb(t1W``-b zuN>FTSIB3Ef0tWPSwx9VFUkgY`;ndA3v1JE#nawm{bqGr^3*zN1-9?nh~Lih2MBsw z`})Pi{5&FVXZw3GG0Aui@pQ*C$g!Py4;vU=`1L`ve&5?m5d}bdobvxd*Yr<2-FJ2^ zV~H}$m+ZnUsOk+afOSm-_;hFIdOv~cMvw7d*ICX|e`Qx!k|kNY-mBxGo$sMp;{B22 zytn0Mvj^?2XagGwrKpxGEATTLkF55Cz5?Q$b@o$-+v%eZqTs2UbJJVv zIpedJ(q#DTn$*V*mKK{SgN_^z#MF~4PRMbu$LNF{Pl>6o&H1yQ?gX!=JO97a9r}8@ zbByHmZ*-^s)15Z5bS@LTFs^saW5>lbI&qg^?h9(k)z5;BykK~vzH*Cj@-_h?THs@s ze)Cnx-Mby=!gsObxEd(M^-72OF-*_VxW2^6%>B%VDpy<=-xg{F7-e(cWA`S=4=9dZ z*PaK^@c0(wAN(~PmoYyv6_<=FF&CGS1TiX?%_}jIe<_jf_0ICJYi2iBpDsRJUHpB) zKrb3Fr-vX3O@e%LaeYIB1<*eQl<+JK9__46AmS9B>)`U@uM6sJqPw>7G)PF6P=>Y% zo{=~O3Zo>B5@+ByDrsJorYKvz0L3H?mvyyqZ`)eWA{jmuRoi%qx<`tJWz1^bi5qAi zrzB=Pe*o=CoQZ=LBw%DBdn*P!QWgcVwyTHug{@?8*dzu@HRF)D_+zEPSmVVyWnjv-LITvF#!Xlhwa(2N#XQYoSHDCQ+F6qvK{}@} z*A6dysmQ%5kA+#e5j82FKFha)cxYD2bZggMM^me^*+Q_FonmgOY8o$A8D)cf98F&v zy=>RMqRj+#cECqoZ8mLLV~~dgg-)rrQwmd;O-Oz32vSb*b*wPz9U` zKPmVa+uwdncb&_qAThud>J+-FTYYjz81=$=$8)M7?QY$+?-5H(KYTGO-yav+)}&#b z9fZZmm6q9=-aC5X+tGfbx+e(Ve_wCR)7Q&)zC)hw@~-)hTx~{9ZFZc0r`pB5R>lw6 zdS&4X%&uPw6aYkB7WXZP0SM$bUDax%O)^%gW$@ykf5ldxj~2gr z_>m=$wFP2{EOlMNaYIA`909>_n(bjrnCq}5EN|`E6)4Ye52)L^0`?5C%EnPt(@(SC z`@-D#Lt)RmkfwA;{U`%G06F2?M}tRevw+i#ojR~IiU=UTZYI{7eReE?-O5JXs@6=g z6HtWusbn@6i43oLpY?vYfAji#jE#=190iW|g$Iuq?sG0<=$3LA^?9vrjYKH-PwqpzcZy%Bdhs~x&WyV}U5R#(^a*FUg?e)UW&*M! zJYr|TOqOMY!S)NhZSavvmt)AWtFS!~GxNy;&;C;{X)^d9_03r`H?jB7*Bg$wpdD+7`_m?8} z&0nNoJHc<|1_Xe&e|!c5-|mwAbHHS8dZ>(I6H2>B5=aFKKME#y1Zy$5v;8i1avA zkP^y!tGk;W+ujAV_XG;lAh5;=ipueB0J7i=K;3e}Z)pNsf8YDIOljip)8Ngx(0TG-RmTLf0>K?7n;pP|p0!~J^MIn39)AE^3n~hK zj|PMtH|+%mG~A>|SzQ|1p7Nm96Xwo&3ay)o(b>UeJo)i|4|vG~h%P?*kCVwifdrsY z=Am?)BFu|rCltP4sjdCf#-nn;=rL?-EVA%@Reqyfz!BAXV+y`EW3B%M?A$69m%*Pg z6qk)MF&CGS`Y*Nc2PE5c3#9ecvl9TYfl{UGur z7ScS5-5`iH43UeGa`N$3obzRf(ERt4vopQ^lS%*pv{85#B%=cZe@Acqq!%3IEFnB; z=xKjGFK{?#VhwXWe=gP%T`#c8LBuLyH7`6F6)L0QrSrQ*05NOzsEky4Vyof?df|uj8~IQgVKmVlH%ha%!l<~@opqsmw~z_YaV;NIXjwyYR_kG#I;R`1#iYu0 zWA#z4>zEr~S(TM-f6l5ajg0GIiE7}d64fNpP>+QgYH8xrmR29?rJOAB!x-=jHGW`w zfHsKS#nShE3t@=vfspGCjT$sa$!p!Zq^7H`{y~0l)iyNO5@AMcjV@N_EF)zpRo>|? zPAfCGZiUrHK$WpqsE{>gutZ1@!D{0K%V{N;w3_v#+g6RAe{X9}xw~IqQQ}6}ccL(M z{W$z?jm1!gFvQl`Ku3Z-2m6s5d*7{7k-TBygcVAsF+xeAN7IDfc24fXw|f12s{X%8%ixS z4I`y)Z|laywUFf|8;V+GBd0fPkD>>eGJ;Ymdm?%df1Y?WW;1a20OR}fg}D3c>@~K; zdnn|{U_F9bj6mf*@GnW5FB>9Em-;5iMh&BzgHvD}4dVikYQcb=4O6P1lZI?4q`jfZ zA4E6O`uLVUy*RLMo%Zd%p)c7@#2Bb=xB=Pwq7gwZnc&anQ}SJR096J%f+sm+gjHSL z%T-*|f7@qWF@7o$p>HtIxGdD($Yf_j$p(nnJ%oA8oaX~3L{mZYaAa10n?AzIL(mcb z$r;aw4f;7*b8MK*0CW82!>fHh(?G2$r&AFqr$WGnoEwt1<{{&Ft#%CdYgv%C6#SsH z1(z8Yw91|@bAQCDysTlpo9A@sr&Q7xdE!$ae?U?Vzl3yDVcibU$ZH$-Dt~+qMFVHl zDM#5-N0)+Sz0a|IcMfH1QdQJ!5^m+0ccN4#T}Jm-e$#D7?uIzYQ)uVdL>?4%S=P5Z z&A0WpOSpH)n8^Al(zmQEZ3s%U_>(tgXtB3(6O+2p!M-MjT4+hOoSHo#XO(r6DZ`NS ze`){F5P2>Rust-uVK_FU`jvs*I1TI=W2Pkh5i42Z2ujQk@6W4Dw01e7Ar;>+&LO|Y zu^cX(@u|wItL)s`u`z?5d_>7l2;6GT42bo1SHD)4)te1ew{@bc`EFld)sv-A+a-#v zTJ!yxY^^N+Dlcs~yE4mssb3$y9KU-1e}0K3v@=W#V<$q7GjY$x;q#iR6V-s;)&1Y_ z7NY=aT0+K%rY?U%&e%6tF4}T*>b94InsbP-+;BsuCjSkwtfz3Vx~qWRCC_DB6tsC^ ze@vFPyxs0@9Qp<&^j$4W{H=$uJ0kfZdn&2vt5q_^VXPqKXfrv!whsf>iynMyCBOeS z1gHpr&9S$;c?afh- z_lz$W??-PJqpu?e^rDu7diZ2Yr&h8aU0hNt1^Ro75-*Od8@+06`C$al!kUl%9#Lac z_aqLOM2Q=EYFFr3HB9UfXLl$#KJom}ZDF8O;`>vCAta8YmHc@r*2N^C)IL2Yt^weW zv-uoHXQc!zc&(w$Si*a)W?uADq#Qy}<4zu z72UHNk(XDvWHxp|O6N^Qs!CkN)=cuIMqhH(9mvxgo5~z(AlT5duZbOWE45*(97mXav5!Smk1y|Jb9Te?h3i3qVq6I1Zo@ zn0W1*sKC9+i$aZJn>DfmJM)AA1=ii-0$wI;Bbovbz;(G)LNtm3m&lrZre2ts`4Xv} zFM)NLB8?TI!cm^`Qs&t$E-;|gI48yq#6GA}l>+BMLMhg{5G_e5E?0xidn{JQi2O>a z6i}lF4CeE*H>6uXecx2C z(|8wo#hi;$vC&XUB(_^a3Csn>7FeZ^V2575d$$(2FhI!&my9q1bNTFy(udpqorr|1jS8KwyN%(R%MuBhChbc_WmVj zNmc5)pHfGWvt``M=G-eao_+iohV9RU*z4>dYd;h}oZ z<)z_E6$9T#ewuHOqWC)S3hk|zKk|0d?_aP+?=wG|e^ZY#>(u#epN^;JZlLt+G&K6T zL2G3YBG(>eraI@;A9PNS#188D3)($zq1Dl^1_BE+zTB19KzFy)?1J(-Xwp3|wlyUj z6lv7_ad>?Eo(4ubmV_}`NJQ3YtwAVQ+ zaJ;E%17D`vYcB^<{w?1*hTaFIX7)(*9=!2nkQPea1BCDHm-6sG`AP3YhxCZd&t1ONaxU^qhh6big zvSlvCv*{Gws}7*5jz-6*?qyZ-y|rCdn`gDyd6N3Rn-%LSU8SltHb4uHfsdzA)`nUj#HPMRhYdmgs83@hYJ?e{Fb?tOiA|sM`6(`N{13SdwK^ zm#nUuGCib`wE^;Mc@`Y)By~WlEzZpx%L~%p0NPbT9<2jG%!yWuT>O95UGt66mWehf zZjPlz%W_k}3As!DHp|LNw&zLF_2ayjfBBk|0|G~WS6Dt@*gR3xyf$YB1H|h$f1d81 z9594dTpX=H2`(j63F9c%ir=cj+ci`i;+PGnoP%e}l14)qq^(Z-RFf&wG=py%DO7Zv4UpNm6Y}glC!25G&x=NcA6YJTIjCT$I-hRU2EB0 zu(m$jESoIj^&w%a5c^^fJIcv2W5pm}hkv;QK5@eb|Kqav-y`28j$=MuP3d^z#$n*v zuUBlN|Lti%>qd46RV4IdRc}61&xeYG-y8S+9U_KW{|8tIHZYgLpD`4dMn5sye@UE> zng_jyUbFk{;itQQJly?$CxAG2BQ`P85je3MZ;s{NufMsQ490)jxQ?GDn@2sX+yqeq z&tmh--M{W!zLu%rHWm#9mfgh_LSfEMADo9);xRN>H*}=#$X3xFa_76-&$xli z3wbCMPv%8s-R*>yBKGpCHrxR7N9xe{JdO+nR}` z!)e>R9yCwz@wP9UUU{6sBFZ5zy1+Il-yy-ECYX2MA5jT9!|wvzh1GJa-Ix4) z*@KINnV)fuD%L#4%*oua3>rVRHJhMhqhk*}-GzPclHm|OCJgsdClo33o4x)A*9&h2 z3Ei6VS09&+#!3U^e`AZm+@)JnLkQi0)x*&k)g84`zL!P7Q!N)-R8LftBHNF zQ1Y)~y1u+Go^dE%=!hsVbL9~tX7{nFL77&V8--vA)>=Nef1#_d4V!lt!+;Ai=K7p4 z1jlIIu58w9-vwI~lidaFZDi$#tn3iZSCt*+KIU4QFr#h&ZuC4XJATr;N&#|&?Fc#I zxV|^F_2p4Y4iFT%))LNWLBhQc%fwWw+kzQWCt#Lxo(hCq40Eedr>LOvIs za_H+4;Li~i5bp5AuYX$z4?t0Nl;o)qo8SxWPvA*BfW|csEDgM*k^O}U(Lo2EnWA0u zN;29S_fCzDEYZy>x1-414qEJZt0o(>&fZC^Bz_A%R$5u)9t~mV~6w(XO)qkFeZ55qt;3P|A%8Q72 z@jIp*L#}#cb_C$DDoAy}PXNZLsMXb_sw+jEoL|dhKTL$JZ@^S))h_YQ0KebxCd3;& zPnJ!=m)9O!&o|p1>!HY~dEgTzJzAONHPi5n2skqp?(5^FnkfXCji9#mvN{KMSnlnE4%Ci*e3u4J+p-up%(i? zot|1VhhD3WD!0xNwNv(Tsk_w#_O(kv+)`RpxMYFK{(#OVU!${VgJ6FjD_N>_px}k! zZN0VJ{G4-47-Vr};XHuH5VEp<{#9Aw!{u7zM;Km$O6TNRHEE61kUZ69_D0Qx5=kTr!2r8R9W?<>m=wU=xl~y`l>dJk(a5fA zF0EX($}n)^^aVd=To>RX@ZyMz##xii*V*|B1(=?CG>09=_?4NRNdB?sBp~;h{2^}r zhmpN8`2KP$T~7ihNc=xxH1~7iUy~~ffEl;7L4Smak7wq_ z<4oBzOjT>{G8RRIs-}yV##IlUqyqQ-Wc>=1p1HjXgZ60=)ZE_qe%du#mT~%(D$48Q zf&Y!{-z35xkE_Z4^9Z4^5#dRz-e7YE{(oe!%m+&OB`-~x`2YfkWW~DWdh{!3Q3iDN z^CGV9oEf5-*aAuD{S=QbFo7}gwr)7P;FL26XH|e8VkLy}nvpt;&5at~4Gp;o)leV6 zA6z&(YW4HT$OBF$Vf}<3yt%#4aqH?hlkEzFcl?p7xjck2gxb9b$s3!*K z&MK!t=%n!?vD9e~7J@2U&q1~k_@4%>B;BenM_@KrYsi5mW3h>PU}#(b%KK&Yi9PV3 z<_kO8{e*ngqK9{d9Ld1KQL72TJb$F?$QuShvVE`TkVB`hJS&<)=lg*GG3tmepHRg+ z8f)6TZu36Z-{o{4r)X;mtTp+8H>DQmooHT3y$W*t!xOhDd}9-Bqeb#Myg8<_ksC7_ z#P_P|hE}T+5A--s7lg*1qVv&p6l?d>v+AZU>ND!BpOSULbG%!o?M<+~!GEjqAnbL3 zcLd@c-K&VBk1P*pf8q@#5zEtRlUhKhMwWioZ}GFME(jC^E#U3J5N4Ij3vK;HaCq@k zRyShS^RzgY3lly5UeU5iNihnh*NprL`S6M~s>!qB$qeAjJk*f!h17$HMK$Cj4so_2iJ2K3bfCw{hA6C zd8J^wfbB)3xsA`!FtOJ)vMfoPk$N%;fko5L%oXg7DhD0)MPhvnn|0IFT~5N{mq443 z@`J^H19Jq5e<1SNW&~DCN43Z%2h=Nv9RQ{Jh*Igi(|pRxrKjEmaewes`<}+=IsfXU z9*vK;PD{fYW6XM$Axm%j1&i`IZC*UhR$H)^&6RHsgh{Wc_TuU|w4%Q36I@KKWyQ^j zH-KJlp8WR8o4FXCP!as#nCm||m_2H0(+5BF&iIc8S|AGvY{+=aQDa<}Ereu#kK*vj zf8aZ7{MXCMn$2tteSe;GWT!o=DZAx@Yh0#&GI9ec$aW^&F$)f!lXg8ULk~WK87*f@^2D>{iFhW zQQ`Z>UR}eG0NSmxUmyt%#uNC5o~F%6Wn0iEjb%fCI_cs&mVZt2#;-9GaCRQ(L&qd% zoMnpqxs6KF%L2`m0=C>*brnYXzoPr?O{H}4CDkCpI9z_r9sl`5DP*U*`^BMapV(|l zJDAMS*8CP0GtJQ~VjVOl&msF>Cb9W*$?O8D-%31JztDQn9>06vR8-*xtMwxz!Vz%4 zRLa)MHLZv(AWp3agcFH$LKUk+_d z^}q72|7HkV1fC;=H~zB@;bD+|;}q-@TecjoJM6^7F%AULJmb2!XC(6(Su8%Y%G%I6r*+Em&31{_`qeaj{#y zsb1$*lI`GGuYP^_$3sASGEWx5mI4-Ku^g3!s}4Ra8lNXFgb9n2EYfTUa+V}HvLRz( ztcd*MtE@h5(;!$sH3Mv$cZ!S0@<4p~dt33|e_G+)`*=4KOt9KUJ65C-67+JSf$e1w zZBy2A?7R0s_cSDpS)KwNIN}T|U?*$dC}!EN(4Bfd45E3(sYQ>^auWyZFPjJiCF*it z>y;b%%-3aIQ~zer`%;|`yq7AlgTGBhQ`L35iPQC)>_F4^pdW{6)j{vPb_r1GT8Ay{SA?_y$&@Kd3$^$c|Ucke0I|Cb0RbZGef%>xiOLDiNo-=Cb@N zl}v^H$DSX@8vnnYxcUkxIEuP5J8e4}+%}Ci3i&>?niCyq za+swo0CcouduY!Wuo&R+zk#qYpKFiO-Y8l>NsSJ7Ky(7?tym2mT@?)_i*f&Dqd+Rm z5fJ38?SOOO_Q$3{>xEAxK3+B;fAy|x`itCAq;Oug|00zSq$zbTO4eeSPYm;c#%M0f zcFi*RU$Q_x4@OBi4!UCNj@m07dUO<5SsOh2@gTQ)!nXr>t6q2TsF@mZYR8&pc~!h? zcqfsNh==AqDSyq6gS{LYx3mk6(~dRukW@0q4diqG9A+#5jkV0?Qxpb%f9K?PCE(sO zgZM8uX(76Mk9XOHEK6fO4T!1sd6$3{k+D0efpH8jP_sew(^6VC%A0sF!?H9ri1#O< z;mU|~aJ3HFj)0KpCB^X7YzfD~2ZXhOK1HhZm)Rk2waLT#44w_1QYp?Dkm5BGbL7&$woL<{#^FNjKk=pbV zET5)mC~}ZE$$L>5ZnQIySjsC-Ll!0XYDc2`EpbfS;t^RE7l90Ze{GhzP67IN;P(Xb zLQ)?m3i08CF`B*M%mM5BYdc6jA%+JASu^4*8!Rwh~pa6 zb!ccCO@xP4V5dob2d5ycj(68NMN|md3F#3mI@1_v2aQ2arU22w&gP^?jbKUwz&JD9 z%OR>Qu?dX{TC~#0e>R0yat5NwA92S8*_33SVSIp5ciB}q8G0e;vW(8KT@#g|H7J5P zHaIeFX+z?OpW8+g*w3jL8wXg~vNPRQd20CRFH!MtdeiId+ z+dNoaTO*nfQyp8TsVE$CR;Fd95R0N@QEFVf7DNzW3GHbif72OE(BKJPOdK>@{xS~s zEW+zn$qqaIfQ>90sReqJjY3+_OloApH^Vo-Rs#nEJAC<4xFoqs~BO^9l3X3;)IcInzJN6O}|I};SB^KT8zM`9l&|9LK@rqf6Zef3uEn2=V~Qqa$X%jn-~ZsDfsZH zGrmF4B7J-27~o?4V6-iUie{u-hRC_YIFm;G(D-Cb^*dO6usi)R2ZYU_dm?_?twD~uP)Fvm=xz$}V90-uLzG)NJakIye&%niIpaQBO22KTn ze^G}@j%FBh9qyi-tyWIfIb#myRzMqS`r>+CIdX~(;KgyYx9A`e= z!Q?yi+z3w7U}BWZ34uT!&bXf7-0nrqr5}9MI;6QiL7WMxz%PZF6H4%txnMM@!9-f|EGZe-4@ugbPrjN?U}+cY6iRW_k9en*Y{5m-k_| ztG~-c5hkTh|CDed-mBm3zU(JRzcTA2XJh21T%)|MI#3h0^x}*;lTlAAe}G_<1%;`r z0DDx*;0vH2(+I;UN#G0KKe~aqJhC*IT{g!z%D_d$vZ)Sy-ITYw=Qq&1cNt|8 z2dhLtDN`p;LhlMczWnfh_b5DwKtBY=KbwKuOr1?qKPi!5?wHf0THosh~?%+TZ5E zbor^EyI@nj3E$KuB)V@z1(O`mNEg0g9OMwwiVE*o1mR1-;vC?GCA$po)47e$i|Tru z25e_g{s)VW51o{(q9q|(aQWx4zJ2R%OVe8F)T2tby(y629H;{ms8_BEFdYhB4eL3X@#mt~}n2FmW~bZXrdSh9b=qqy-)y z6=9kdM20+!Q;p=GKV|pxK8vE@b>9zj-!M7e)e{hbJAZG6ej0~vbIz-`qFnJP+Upw}>1-8swVHqL@m-M8wj%<>~OCIjv$A8r%i%iK+f z&8xRSj|GWDWMNdo1qlgr+HXyLq179d^DGoeY8DTdsU3QAifTqJP3=K43iV;Q61s+%bv+BVqZrTtEaqeX2wgJB6~Cc3)_;=>Z}&pP!M|18KWgekTe={)YsP{C zl(53(D+2rt&V7`Vhre-@hIv$)U3VV1Ss2HaAME1@B=SN9Y>U;VqYvLAjEme4_#*qd zn?CzM$4QuqYAcbNOL+=}X;CZk7-XQQhUO7`k3-KbU_VJ*mTLqyq^(glqu>H!kY>UD zT7MvD{!pt{9|~<&zz78TC=q)_-Gxih8vm>c^D;L0j~eWRLcyTxiM~&#wn14tQFp|) zY-IaUH;(3Pq8cAr)2j83{Xriw)W-%z9njKe@%~Bs0BR4U2rzKccZMOjzFw`ni%xjh zl9U;kd&`#4F@we0Rqa!@f_o5wO0ci`C4Y${zmfJEQ*d*+J@4MH5oH*GNBCNeD8i^J zuT5SQC^@ZoOFVD;_N<*NlK%_`F#ELa!j){YG&KzvFb+o}KGVr?T%CrwW5!%+#YY_^ zcxvRNWVwwK&`3vYN0yB2S~B>Uhuajry_qyt3^DEbE@08M2(*2Tch*)&1h19dqkq2= zPx*vmQlBrKxf_sXLTklWYI)N~Ei&EACxCIh=8{N6n8cRgB99`UfC+F9mX~K)_sXT; zq72t+w};pu1c$z*nyXXS#|p3!2FfJDl5TT3BY7 zKMt1~d;}T;tEomyr?qyv-pU+l(0`CPL2^MW84ZxM5e0&|*AHwG>9$0qoJn0zlR5FE z-TRY~@JaTIIxGUkEzE&JFG9iZYsUWbN}4i(rWWDwH5y>!POt4VUa@iR%|Vp@qfF&BZX$O&8XaSminY4{UwzFtS04+x_svPIm0U!`)fQi z$X45#6X@Hqokg!SD);nenvL{$48qFMx_QG>3y!P*qBRJKj!7C;QL#11pq5kzaPv^S zM=6NRh0a+212{2-i(DT|Q_mzWMIIKJ*r@aK2`MDzRlLLjPC~4a>wocV%?UW&%}MnN ziXJpZT6Z;I-Ab5}oosYEmuYpw{Iu?BV9b#RS2HXWf}cnsVe^adJpT66Vij;IEEkC^SWW1y|P}ZWBroQkyU7Q<_!* zc%AO%CSisA84?}In18gBU#PVHz$QwE^Yvwl&1Led%kXIfeb>k_LjVGVIXtg6dO>5o z4v#Y|WEp70QVq|>xd7)hTzG}n>?a*F(R`5wnyg9i6N6n*FE`Z7LDQ+=!A88DTE)n& zYzG`Q%9`ZznFRjSKA!d<-*wX(i|pJ(8>Z=G5=-O5A4bVmtbccd-q0Sj^22XtO5H}g zp6CzMXGS#22|n9?;UD^`#ZrPM&C_#sM>zqE33NZUlBm7PT4%LN6{I&Mh{CwCo_g#r zHPRx3o9suAQAn#W%hScNpMmqo(8RP=Sm?-qWy^jr1s_Fqnf834+z3e1PwPsK0GB

27i%Y46Uaqox(~E$;ji0_mD;;!P7YC+r!XW1~+FaQyiKv*F_>h1lJN9 z1@EtvLR=|DVzm_J>S-QrL2NCYY|J34*LdJ{$Zs88Vx_{bJ89}T9HD4@m8MVu$Ya2E| z-troDC^chSUYGk1Y&Xao37M-aCK3T&b{<^%+^bh>DX`msyx(i`MPsr89}Ket)N%WLy)`5!J;g;5DAFUqh=>>EJ#ZQcNuCzL0u{C_Xi zDvNX91^(Vbj?aGJg%1NiF#|610R;8gWdF_-3gsxbb)D2yb<%28u}0n!r5%&MwdOq= zY+saZ&NP84d&bI|ds_-$B|obt3~vTd`{N3%e}AGrcw=tkqdYW*42bRID*T>??#MvZKtpT_Vf!M& zdVH+)V-xijs=~rGJp{j=X;gd^6qp83zyzA8PE;avZ|fHzYerH0+kRC7-NZ*$yq2KP zMp;JZGma(Z3ugeHNbmIn+lP!*3>pVWGJlPej39iT52`(q-H20G|0ts}0)CIjmJ2R= zJvsiC>$c%x3w-U;5a%pO%97 z-}(4p5@}%`+@OI%LoN2znh%ga>97rk8#s4F<=%^=fUL@l*BuHOwsf|LR}(h3fPekA zSTD4m;HMvsd@5#Bradv3#q`?2*zB~1UijW1`RtXI)@OPs;Mkocu9o6eTvG80#ty^d ztf=X;?|7<~4cG#N?0IFY_zJv+HP!=#wlb4px_7D}akWnMV5xQ|Fv_VOv?$=$7=Y?Y z#+K2lSTyNS>7&Ft+~F5HjxbHF$A6TlJ!SZHM)m3~c#4gu-q`&KZS-Fd4?r=-^1&H9 z5OKnlupo!D$8o$Ee!=`;gybtPS5cT3wzNT_7IW2vV51_&h_vFHH#`;z|<)A^nblBV8&I< z)rr^^Z1~l-3gbBQMeL|h6!rj5nvVZzpa+e%=@=|b@WDG21!Rn2TILk+xq~{e+|Z>c zAjB+el^O}~=AQ)lJyL?og`9=K_XjVLz7z+RIRwe}NxVTxR9@#!Ei1 zVe29PwWDjpE7q@oxPzaPpnu7ltyKWKnt008rPDAjou@PHloLV1g$-4o<^M+BEP-&l zydp0GzalRV{weZ`qFl*hmL8 z;{qFTV`m-xKzE4`h#8R5WIGRF>f$4dI zg~gN?d3-~wV^<0R@T(xZZV#QLo=&+jQXY7AKtYLfT{F@H?D?@xp0<}uL4`v1f!7`w zC@2?lv0e%Y_M{m9H-FMTn6Z=17uJ-*DN7sf@Z**CxEL2FIsGXLr)w>2aboIgZjI+s zk08L4eO$2F4q4*MU<1<4{|1~>x9?0?Ect_{!Z;68QGVlh-aq=^2T&4;_*=95LC*yl zLCTo>{_!!%u459pDnXg|@)~bwL?(L`>66gV zo>njU^Cif9nN&d`TonHcAd^l_m%*Pg6qf^KF%|+cFqctqGbs^ROOM+&5Wf3Y=qMFy zhA&CfmsMpkAb-GOO%KT#&V1hthsNHWhrK^nzin2p-f-e2D2Zs~ZT4PFy_gHc6XtDl z@1y@-2BF^-Szq?YU`=D;{{4@^>CaED_#WuR3}>67X4i zA69>_u=NR#eT&Dw3Gj;vFD4ja790O=DZ$$Me7pO6^#-<#bRZrjFrhbZ4pQv}Gjz0s z#3+d)x2DLpl{{~|;%L`S)P*qQZfSk$in@Z}aN=imb&DCl>l@iB3H1+kz(W6Qrpvmz zGvZqu;(x+!Y9(yTTM!W=NGr3bs5;qb-1Y%g7)di4J+Y}PHnh74{518^jn0yp8lVaO8Cd4wn%$^nDw zcO4rd5D`OcoWEA3_YU z{W+dMt#YO*N{jJ9mbpW9QakOrdwJvdW7nDE*g|^J`f648rJe7quCP}{nYuW}*nc?N z7_=iIdiiXF9NDTEY%d@z6cs`dqvBaA|=Y?`4Eq@<%tn0(%O?^7q2IkSZPvZn#3N#I)#~1PMF(^VD zL_euuA8J76c?J8@k1F=`naY`i4WVjw^=Rl$hjQn-Q@yDjCuQo2db;mii5%#ujyqbs zQ1=zCt%JI>htnK`zCYx%np|8G0B#c%hMR@!V=zCxhh~QX#!MJC>85oLCx6rC$&5=F z648*oi>)yvcoLGU*7!lbkq}WKEZ^u$^X()dal5YzzK95sIQ}0~&b=x|=-S?pLxbl^ zSY*y`A-{3Nu&lbOhqG#xrS9O`aB8md&S}wiuNpSyNu9f7sE@O=&r9fITO0=cDIcH> zmtSO*Ax`MiXcvX>_I`QR>3>VoMr*4(z<=Q4Sjr^WNs^yMzn$#Py6zuz=BK}cg?B)nj8e)7GXTR#Mv_|()?$h5Yi`l^shXNzgTgKpxH4tV-7APQAqvQ zhxDX>VX{4OEc^&Oj(Fm~9)4XhA%>~9)vyqP=AP{1Q~wL372j?OWs{U*5Vv}2G5QLB zfA1&MJeoPonC;I`KU}?ky887h1i6AuNOHt}8l;>2eD(3?U{e77hfUy%!+!JGaGf`? z+{3fnJYN0dDscBC_RgLT(m%jFX&Cr{NNHbLS=L*Tc%QdX;&mWU5_nlfPgV80RVDU( zAxfpTA^=`Vn=-rwHM*Lf&&Zy&+c>a)Ea$r0hOk3-q5)@0yx*I$ZWYgvms!UW)X#Q3 z&@J$xZc*9HnKezR^Q_l()$t;qPpVZHgSw@Z{YjyYK|#AP^bbiwTF})q3S775OwVR! z8t^F)2`h-!(fvtR<78(R-KuOh&0_6BcU@hg0)N8^Sz2HQ<-FkbvI;g;vYOI=#Pbat z?0LTXkh%cf0XXFWwvqY=!65wOckn|Wz2u-A>1_Hwfe)u2t1sM*=JzR>Zba@E;?-|mDE-lI}8 zBfbj!DA=PXOk?iyZU^#!hB!#xT=vjSsc%gjS&dF z&cZThR<*O!&(zwfeDW&RzkTyg66uGN39k$Prm%73gH_oH2r5}aCpHswG>WVmvU2I| z%sId2L+$hAI?|re)G4-qnxT2yIOcXv(A|ZR@I@%*ZgA;V4)LX1IV6rv1A`FM%W%NmC<9UaN5{dkYVml zV7dZru${|BF;F$c=y(X05+l>gnn{mAD~;eVOa>xYDzL3M2wbCo2cEnh%7VC#gQjL= zJDFqBP$1-gLc*q|>*Y_h5V0M#4rDL3j zZVZ)XKn2dMBU%ooJnYtVP#HIcAt+j|36!V1du)X4z<~18clXr5{jyzDl`1>jp7BUC zt;Lhppvle>T2_C5&~!X8E<{8(!!ZQw+)+%>L~AF609zQZxZ|Lc9ZTJvRACo~jaPbg z>zpaELwB|^j|rn69;yP?1+~*Tjlm|*=+rsfSsyX;0yEp2;)`RQe`P}y!5qRiVH9J+ zNN=$5a>qI{LKQ@JQwyX-0CR%~=xf^g2>KZlP61{&CSJ>b91KvYS<}GS)f9xpWiA)7 zI~1@IfS6;v;JruSA6k{O)TgX1N?q|(d^&lydOqfK&=AAWd)gjgQNsG88Wo)LSd1Q6c3M&yd z>j4{PH0KF=;(!g5F<=9Af^;m_11&I(heu$AU?cp0AlmaONm{sd;yaacSOaJ02Fzk^ z_7M%Z8Sxh=uw~=xa<&rYg%Y z6aeXh=l*=slb6Oqmg(J~(c>y28@mJQ&?zgtmE#iEtg#n8OXoWc!4q|OZ8LYsn2XWZ z*40ISUE8-AY+L5G%2h=-Pod#e zV(%Zq18pPqqxBN=4==F!xJw}Ac+ZHzi;t${YmvuXRavX+H%$N}ia??yniXs^adK=4 zPN^)}a{*Qrb7b^*Cg*SNG7R$q!aSW3hJsyxZR#m`V5Gs2DVqgW^cM_o=%Tm;*#M6* z=#Zw$M*yhS*dq>O#GlRVaA-$fx+yV2kK<9MGvrQ+*G3`M0=;7x-*)_F(((9(zn5Y> zen0&G005mI`aY1l!nnz95m4~A`XOUHXhZ)h900B|866GiNGvW^I_s}wL`6VW^&K&P z8uI}%Bn>8Nk}r@>)Sia&>5JE@9q8%!Oid9^qi6+z@e)Atr)^9%2=ts4IzqzM-1*>Q zEekS5K#BfbSM0r3yo_ck`ctcv6{yKt$_(HQCe1L}5Rf=OIZTs(ld*RKA-l1obN#;-DLZ{GUNo86Xf=Z|-i`ecmqa zAMRFj&quy{N~Fzp!IsXjg`V1!-$}2?`pmLr+&4O0PP^b~tTjok_kbUpU6Z93maV6B zmwdko8!bm`%S4`b@ByF+oY#_7I$C@!;QSlC^{;|Ap68F_i;kyAC1xHz7av3H}utc&0 zOYC&fDC8bTwLDIDG{WqMNSK@N>gQdjUrs&s&W;IrI>emmx*>v55x(DEF9=ld36rF} zI?L@eXvK2x&?u9{yH$B+@(RI!fJ7pubGYU{WNl#Is%as2QKRR4ps;6)0r zk=O~7dA&VnHp$s|!n0AhKavfrUG8m$mQA4}QKUVeb`6&Ii2NEBfz#cMF9er=;yn6; z`6Y@mhD(GlZlflF$E0eyX+)V@fx zzfi}$rXj|-;ob3YMsVmdRsmhlLuGRocr&GV7}^EsYaoi(QNsj9&f3UV`R8q^(V$@L=1;0lFQ>l)v!nrdpjVx zB1N1|N7g3;;XT*mj702zYiho7A`auJ-F5G^QAskLU}S9%yU}6|Ke--yS;{IWJViG5 zkI3`SMdexq`Z#7fn`lSatmx}l_ooSh)Vf)INgI3auIJy@vRT0ec`dyzwS}C8bBSxO zZe6XfIp%w9ZD)DuYhCTC$^6JMHMTRwXflAJwjj{9mP$8G=xHf`c*JwdxTZL^Ea8x? zTVW>>6-o);2D(DrYx)L~Sk)#T#qxMmaZJjOCk|41%-5u5$+hcfD^h~rq10+JKDi@l zku6hesgj|_X}?hn^it%YJV-KCvMf@%Q`$5@URa!++9#Jhngh^z+c5a{+7=RAEUoPl z_|`TdS2qz(mC-1F@7HEs(VF9PMYP-+P^>c%f=&QPbONSH-~Fs_gT}=dMzL}?B_h6? zG9Dy_w3tVOg;kyFcm!QG(?oSMjkmHu!FVjKG`gBebGh`&{_O`BhI23`^3Z%O9H66H zwM?@S5$)%<%t$a95q8)j7GLT{kziSA@|!*9H_%15&!%aAQUZ96bq-&oB8}5NY-V{| zceah2F=J^nhQ@VBBGXCES5g}2XLRmCMl)8TvIzke^%CXF)wYTqz-&s+&ZNzFazRr1v(QAc>7FO-9i+;dN2tp zzGE48Ry}2Z*72KW-nd2 z+qeL`o$XK$ytTyE|o#`TLN)>EC-PSe1+q-YtF+dg3o{>wi~*N4FIFzeS0pKbP^4 zF%*{qfiV}CA&N06mqLLtlYdwRDU)l`L2DLY?%tohy*vAQ7J+f07kNvxBMFw_(%aN$ zpT2}%3Hns$<#xaP%uP}%+g{!j2>)^vP=FK4#Q5589 z8e=SQ`zQ=Twd61?gE)xE%KyHN_vs=HL;tEX<-M`@9E3j~7g6f#j(=yvPQvuN9Tv#8 z9HZ&RuddZzaj1{ij8o z`aLEr&V9%g%pQ@iyP{%gutbF*2NUIQn!4UwGZ-z~Z5Ihv0+mM1EF;^rRk3kmL(@2U z*rDinQm|&^AoZR1*nf6SJ@aMLblO=1#Rv9r@sVa8*CNnqC`534SF9_I@xwrIf;mv+ zw)n^!{)6)7ntqv68T-%&iwgw`KTw_~G2oC6dTu-hk|lamo2n4)Y#@xghR#A9`)$X8 zHqE}TkP^?0?QyfAs487Dt6;}LryiKtw-Tl?@}ykEev~cHHh=s`yf*^~o(S0+_?}`J zl}x-n=W)Fsm_U7!K$Ih!fiQgqYqYGAyoxvxl2@Q6c|D))*4QydFzYoJ8)tB)uWB|_ zwm|Md5K`#8Sq8b1&UU#SNJo-msVb+6LvJLzd`>}xbpz3~c!KDt;L5?d$aBudo@}O7 z6ly1kqX@Q5-+vn{O_pn%A!Fv5QCJ?KABe+Ni>6Iut=`CSMp5Bo`k1;rcS=eZOSg^F z#^-Qz`h?h7Sm#Di<|anCI57WnsFM4AH>Q28Q<&V~w)$|bPeuV6t2#X6Pg*}q97`YQ49ZUmv#|{K+Z80=h z0MY7MilWmNBcD<>)&J5(LLUp!Cgled6giD!&9Mbka%ipG=QI)D*vf-z>t@)AdTKOB z-Ztf|`)3>{v_yrjYJlL4EKj86s>q!bWPT3QaDUi!&HjGJ4BCa1z`?i}nwDpOG&coP zFT?^AMdy4EbAg6p`jJw&=hntj{v+x9V(FGgzS)Sx-iYIsD05n02Rz=A(uhu>JykZG zerb0@SJ=Mg?&c524Q;2T>0xB&ml`aDvduR0tMSm&ak=HN}v2 ztVhAz|NFOV#3Eo#QJSV_CMO7p7Rnm7V#fyN)o^_3o)@v_`BD92%ij;&p#`>$P2foR zF&tJ0l;$8SYZ7Ane5YiJW|Zrl%Js2{SEnN2j@0bAfjC;c-WB`m1h&t)3u&M?`JFqmxBgY^%U1_Y8IZAcyai4ajs0SOKP-B|IRh%ZKVf2)+K!Fb+x^i z9&o&Wq$5r)F#$--qv+v9f`6N9=T>7kLbXt0c(P@mm!Y+L0%ChM+;^sb4;&#H2Xipm zu7u<%-xkOCYCC%{P6Bw0P3C_rrU}4>U;bb5`5&dAB8h`2njjW<%fP$(?KkXzMUDSy zww3&qce??o*ty#vNwXl2W6;iPmvc-11*vI@a0+E^WOHDB}_iuq3Ype=}Y1 zN=qv)&A+8?)}kaALY7vnV^uA*5%l4``N}agjr)?za#k7T>1^tUuK(EnU0Z@fj(+i+ zD)<4G=Ui=<@sKeUw+o6f&3O-G_b(opouv#=E>mG zXVVBl{=qPj9r(t&dMhy;&xh~WxEuUAFr`gq+cw)bCMcMgxU|i&1LXN2!6x#oi7@aV z(>3Q=%A>TPApmpD;XTU|@w8%eWVyq~C@XR)A&XK%VHmDZtLZfKo{xV#Y#V?cd4aRj zf(aNoace6y9X{10JlatmV{ z`HpRPfrl_ert*IjQgA-soR!{$!DEb3;CeFquJ44Ckpq*|A8cWCB)9Jd@H)~W0)pRY z4uK^+%F?6syua4rxvzf<-rf7_cUPCa5YKOJX4mH*#Xn&Jh#_cl;KQ@U1e&CrprheO zZMMBMd>STE8YLvoNwSLRAqw8qeJePXIkkLFZ>sA{~#F2RTRYD1~C|xL1qWnxemf2f9tRukJW5$UfFK9{` z;mQ}acd~o&c%L!xK*@Y5ElB5CQezf2%i*aqmXS11BCbq671}Q_neNUy`Z-NQDzPf? zd`Wm4rS$w1+}wY4Zrs?g$x_-HeB6r0^Q6 z2Sn^}te%Fo0aj1DCK5cOa~eIWte$5K1U%m8Wv|m)Ivxp6R9SP%XH}}sa$e@}VpH;k z*HZUyZvXh}a&~@ob&5);h3E2-vJKP8~j7foK84aIHNcIvt41y1+p7W_35P=sUqv^bCpdK8)Mr=zUQfxao-7m zX_X4RQdNH-^|mFqTQ*o2S+(EV*t_}JW6Ljxrs){iCJw%t0(wk}T*a=bWlg1~O>&Fo z(N5226q#eoK%6Z~5ADy)`bf}0^z5UcXVM){hqAP4co)E4zW7P^#ffP@Y}+{BEa?-Y z-Su>o-X+PGtp$02r7uOmMLrj43P~>M-coTT*l&N;EnOC~grr5oTuYv#YFl58D_wfQ zSv-P!zCZjeAN?t`=0pb95?J_x}Ey#SKE5 zw=9r>UehgFuZ1rwn2Iwid)$c_-4>0k_ectOO8Git4+`coQgpwEBhTXqY|2mN#HI}_ z>wbTrC^D3N#f}r$O_7Bn(P2~)&t`YECM?XGEmo(CKDRq}fPy==YVh}U%9TE41>M!S zTnpJ|-Lk7546Fbn+wUx~Dv!Y8{R><*|Jy@>N9n5IeY8QX_5X_4t0l2(t{3m`2X^y2 zh+5x5ug)?5$FBbR8~HIEW$fVUG*e^OZw`O{JHFDDN~+l1ux!CdB7WR)!gwK@*~=k$ zK_~Q_<2A=GE3m$MVngdAsK!l;`cMtpyj)CjU@km(cB)=f?=S&du6m6qgw3+uZuw{3 z1F$7cTL4eiD%TXLXc6q_z!-ww(g_>l0TZ%noV%6hjvy^`% zbT&JnjERo+_EeQ~uM$3+B_v9>;2uJqWq&^;Bu=%Ew6Jitb4QF_#SIzy}y zogGIiq>!*hrR}Klf6f*~0!{O#!lin&p^i&Bd}Gd{)pI+}+v5Xy%vN3_xK(+ONB*?F z%GGK~n*)<=I)AGD9PZ!Gbv9?u&X#{3b{B>-B7@veusVgcTVdPrky#xsTFCQzZiVH| zZ@HZ*zf(H0-Qg4e&V6w2y)djD*Fl!I_oa641-)Y-j4c7w7pZI8zPx;`q!&xFsxH?7 z856hdJ{pZZCm3Ep?G}>Dr}Fx~s_8>Wn!J0R{V&J;W>5-cZe(+Ga%Ev{3T2o5kTD3C z*OxIDmm!KVDVG*sc%P$haDO9$+mPZVPDnhKB+V6Dgo=1<3V*LNIboPYL)9J2*@e z!IP3r0hoh_vpkJeE6dr)bcXjlEelPdb4g+F8mUm%!*$TRK5~DFWdM5Q2KKWOcp#+b zG*WbU_{4+`76?F|5EMABf<;5Y z(?oSeHa3=j72kgjMe%*RKbQ?)Dnts_dw9_uCHj=jXc>upWXHH3n`ks03cPgk-fx?R zO^|O}@OFjZJWaat^$f|xV9sXUTM03CO)xH}E6RdJ*{?Z=85Ii%kPvW0s;)E=EKjK5 z_e>EkSreV*t+x28J>@wI!3v%ZX9XM0THjQ}Q`R@c7qWks%Ixzl@^NJcqzkDI-x8_` zVUG~)(D}UB!W1eszwK$NB4#u%SiX%_p}xW^7Pk_ST%(o?&h~y&3#nw}v*)~qxCoQl zX|`0ystn2;Pb*d1m6|)#pdQ002hsU~(C(_`wT8x`;^#rC(f8@PMdmv~@Wtl+ZEMkfn(nK|ARHUkZHPMe|BO5A;GSQhht@8_$ zxKacwkSQl5v`VZVQCYrxZy`ihoSvMF#+EmnbFnBVC`@B@AJhEq`#m5eu&gT5WtG$G zg;bFyQ97yntFA0p_wSbS6pHeRCp@MR%0?C*KJ`PB)eAIW5~c)h!ejt&mndoa#L76K zKqEG?Y}eF(aoqC3@|Bi-WOn&(&0od|jE84Q0xA#t&fS@3xrD&bj#TV>SF!6m$iY7=Q+0Rh1Yl2BQ!;6-@Vom0nb?C2z`1S1pYx}oXU`Y>BqacMRV)vB`%Db5i zShB%uIr1FP7BUd*r%dO-X0~r(5@%_?lEPKsp^QhKUdTF>%Xao(RZQC#jXibj2P22T z4k2}C5bhyBNN3&FDtfV~J2l#r|6xKe`$4;gaS?HvjTTsZJl(0y4+3t`B-TY8J!U+A zVJpt5-G;^tspc29W>jKApf`hUhOHw)S?EDU6W$spgNU-dLqT%yKx*Z!^?z7Go8?pc zzaBo!83ax(dV;%1W`rL_h+Dpe$G?F(hbz@p6v!A_1ySn#XG_u*hgVE3dTpw~gelo-2oY z4t;iUaeIFD>D|6X{3g|%g1bvuxFX`gwmSEYJzw-RK&>S>jdOr3z&*?7M}_xe{*;NMLi`^~jtcK3ogNk5f9Et|MR6d^H*L(jWX$9T zyLU~}+}eezpRq?4mO_5~)ZLqZY6>EKh}V6q5KuV6OZ)Et^}Z_UbCjDK>Yyjk9NU!D zBRrEJvpHJmoIkERXjA8r@;eK8z;(K-{>KE2 z_dT2C`Y7;WP!nowbMe-ZM<@T%5PzccQPCaZ0m8yO*rL(8sg z^V}E(5lCzc1x_do{N3`c87X*HtZ@p!e9N3uvJ#_`;<4kKHyK$oDM=QU1jB1~jaq%K zgFc8*e_*=?phrRMJ!nA)MuvW4MMp=okb|&&bS@S~|h9)^b9vPPhKFq+Ij`yYT z{Pf}pdQ7D0fKZiaWK_(vMVavBZn6>hz(Rhdf5i`k-i`$Hg3(^fXv;WoTol{3u=m@s z0GbpjS>;>tZ$@##u^1=fQs9jkWGRN=Rhi?CC7Ry>f^DPN_XUJT z<7@EvdkLm;_z-UHc==bB6$>%sOWfzrjg3;WqdxrRih(U@Ol#PUEq+lJp ze;$KhpA`u?D>S@&a)PI8p5+W(LB3woIY2c|y5G%CMx7{pp6G%D314Mj( zCQ7fNCzRS&g_zo}qTaS5wko;RZNsr(U3U5xiT(Ns&8z`Iyln9}ebw+T-k%Wz-Q8QrW4Q64pMlU%{3bx9)GI=PpSMaerv{W`+(8gfZp3n7`MWB?P zpMab1rRhLvNr7AM4@77=h32t~z17_8dYk*BT5lMDdBbD$3p6%6V9jSYe+g4P$qZ*V zxarR*2zb8zJ9zos#ercyt%G&ScooHqdMD$xEL~^kKmT?#Jv=#S6Px=S;e1Vw7;KN} zW6uszvj@=W{FhLia+NKu{e{kAqAHfkP*SJ`BVpIOf;dn~Xo!T~R)2Qa2?-*;hla}d z301oQ;HSmieY<&<^E=LCe^}M6rp_*tvcv^@N;OcHP1Oty!SIiS0}rL{ABE}%$$G*{ zsAN-V=@Y{J&C5B|BVT%CSp54q9mR`kD~)PV_do{kmdf+)8OEbx?xlrsQt_LTQ2rt< z%Ic|}0#%&$$c8PQM>m*mfpJ2vgIahaZoLsWC=9C8BsuYJC?9I8f3;BlwAXxnCl#P zx}J?J*8`Ir6hu7-p7Kz$qFB`xSf}foxBN3loxMk%5bVB(oZ!*twY_K0o`Y=L5kRAw zkQlBT$#cb8`VI zGJQ{bsNULXmgB)S(Qamc%yh|^-el19G#B}Do0H zEgBvMDfkE*_9+s&=78p05Ji`SZJ7v{3|~M)vEn21G>I-JmHa`C2LtmBpZd!^2Dn?1bDM|T~ZgZrf+hWYX$bd#&#BmFC>c~avj+v zSGFUdv_=FJtH~lL1^e0pW8~YmK=hNCF)~?%lz+v%tKY#{tOFFp0byz=P3^XVv&ss3DwNv>M1wlDRaptLCq^b9 z5-4OAt?URmK0nY`^Zz^+c+B<%?@OMy=4JQ!oqZSi0BEZw&n7h3+sfIW|EL_GQhrC1?ELop>UR30W|9a zkn;$3jdrlcN6<7JuGkMToNJZ(bd%HBb}8DEWY4^FDj7me0fKRo`}j zoF$WIZJA6g;C)6pe~jqoj2^F0FQOcgJQ^D2o(-3*ez~se#meRGFixjaMm0uu^j{YF zNROIm)w5{1xKrQ_OvY2i^erkTS@@RaQdoY2K-S(tY_ZNv6_0$@fsuuQzdWXmMoQVh1Sm;dUbh_6@wl88 zuJSa(u5d$5(Ri}8cBb57vv$r~>zt5-+qj`l(&nSb&k!Z;1DRg<9O zaEgyfm9 zj{{2pF-QfLyT?w}lz$Xf8DaT8%_d9B`D4!MZcz5^wxoB22T?PJOav`R*(Tl=nUYB} zst9e#*>N=SjSYB^12~jD>e+uCT%C?)xOp`M`k)6^1U*b zgNODUBa=tP%FJC}!EsG{{+a*70kYnMWZ>hrg$(EHQ*g(}`XqpaWgv_V0n|eVsKBxO z!+Do-jcwUZzf^!E-%ewsKB@~yQKL<#&ao!imhBdm48 zeck-TiBTG3Pv{o-DT)%Hco;<_Rto-uLp-l5UQ`{;L9h^y7pz{ZtA^1D3CH(E-L;yM zEd_G2~`3^{rqBPj6K@3X9;Z}5jo_6;IVq=$fw6%P z5iBCXEY+O*6ySXQF7C4>9wLEINit+~PK$e*&$6njyJ}7c>@|NZ6zu>d;Qf}C3!4Yv zKLo7gv;}l!yr`?$Dr;AoY`&b&ikt%e_{KbFnpvLlOhD|V;OV$vXD@YJ&qHY#>A?JO ztK|!%H2O!%H=ro_kS$zUFMB$Y&}bBC9S0P_gJ9_wB}Ax%cwu?v05&3}DBBw|49%hL zWC$M5TduW*I>>)MzYXn!hkBlCY{(;=Z&vh?(MDL^hJ?u`j=Rgs-*U|XShS)E6d^uJ zJ;kXQV$=!|FG6@5AqIsCfdR1ao&x~M1pqi*(OsAmRUj)W159q-TGVA(KNQt+8=g9@ zjdh+0NR7wN@=8wUbxx0S%!zL3_`*$2S@>c{vhIfu`EGysNM@n10HF9AdqYuGBj|;M z8xa&ofes=aMZcc>w@cf-;@CcxOeBClvUV*}%P2WmO%JmA?nX01~w#tB4=bOc3mV7H)OZ8Was_A&Iz70j~TFS1h)$p+D z3D}-4UNnCYZ~IXwLi;I2HLP8IZl0Y#WP;T~@sM)N_ z)^s*IA(cuMU%k3i_C6?W&1P#TFHgihE#3oM243j(Qz33qvZNvVTqXn`64P$O%n61DlC1vdeg3=fBF&XCDZD%qp zVMl)l%Ts)3ISj8FjU}f{Oy>7GzgoFhBVHw3 zXr1POg3aYnaM*T}1r@$&=qcmm@pzH2XG4F<_ikBOc@Gj+dyy950tr;I5Gk}3>GKkM zEGKNT9A}TGN+>u!-J{WNP>MV-U&2Xw*Q@^ykYZ-e~6Jz&`2lC1M{t$ z_$W%EA=vcHHft%2ulg)QX>$&ux2EpJE`6NShM%DFKnXuWk+&@xf6>idow5AS3bKDL z>n!$1jXB^`F{fDYXjoD4J$w5~ zIB(jQ*Vhx*kH^b`uev)luh;5+ow0u}U++Oe59+ES-N-{TT}c(`uB`9O`|4H7)cxCU z`m~DjS`RF!nfQ3Tv6GW;r5gJrF$@ri4n9cSc_&e|V|hbzC$# zM_XK43vWp*Rd*#6v9wSh&Yo3|Df9L>O-) zVvC0_VQ5e^&;zdr3Bb8ez#|pRe?9wWddD+l5g^AHKu*-u5C{MpH(S=o*45>;Y|w8C zpyE$T^Rj3Ff1Ij*8fu&s8%fy}v`Fg5e~Qyo5+;+573;FjW6sJ#5`;sDcU(NmM#dUW zi%yi33c1<=4O*`Ja2jc*GLtRl@H`Q*TsgHtxe}2nbOw>hMG?kQ5SCYE&4)}|=^c0k zDjXUV6$?QT&0}-2JVRByOoF)HRB_$VA1sD|`l*;0II=d30oM;yrGX&ob#;7%e}xRP z>%X=es7`%;7zI(6TpA7LH7lqFyn0uaY!q=$k!*gVBeOz;gq269Oq*rOpBptP?}7uk zp_(5$o$O94ObBG>K-thYl-74yNqJDyCpCqzqZWD1isV47ySQPA8MUU0JZc7jE0?Wu ztu!ECkdZgk{9**o9cLNij5ZhDf1*a9;S#7i?f4mnaNGc4e{{uA3*>tnOPLv{ zy68TSAh4-oZI!uN{%yDN`Jm|OcyF(tHt z!*_d1(3Jha`56Nj2TMGM$$YBVgP+LctN__!$c(+jslU;0G}(2D`e93lf99>~a#P2- zIjZ5{1~BO5*{pAKh;KuVB2;5h%X^XH*r!|_pvZwL&(p4hnFkHpiUn;=>gFJgcE09J&>lxDfh1SG}Vp!Ta^V zzaY7)RGKp7g+-~<+`!H~;YOO7D~Qg`)VOP2km=0)8+XMzx!wyDGemq1GyJ5rGMerJuW= z?^=i)y5bM1L{=(0jnyNcj4IxUH6K5`et&u3y6B*eY0SOP4t4n- z?+zGxL9pl_HVjW9e^TxCx;1iYflf%2U68o#$F-j!Y5uX7N2E=~>tx5(py@CAv@+;I zy6+gH3D@`{KJPE3kb|WAvxwKapef;CCw9@VgN{d%0f)09+R@Z_S|IBHVm}$#$FVa- z^*0^_n~ElE{b)o%QCr=`$=6}%d0=|~y`lWD45Rn7R*X2yf9Z6g@2O&{rU_;D`uI1E zdHg-2*&%dcdv^;Z*;jaJ6^dwdNSd~ie=GDZ&Oiyr(|Acn21qgD`fwyJm4!oJ4Zmdwi6I;a^*`AWVsw}BkTDdO zp20EHfBDFfkDmn%F=qB@`F8SpIr%anz!x@%e8#{QFr94h676D@pp00~* zC!g|y&8RcIiL$(0!=*m*KovR($F>lu1s*}85hXy@aT2;D7-9GW**08&Kmls%wk(v5z|;@^7f=*X zm^E;ri!V)-7jeov%2sxhZ3y^MR9!Vsr)44fKkE<}G)T^>%>D@JV30`&3LN)a%duc9 ze-_`={_TMCMj;Ph3?L&0>+{<}Xmt?-J1uhlUv#N!7L?SFB7hDEuvHeZCsAI-*QS4N z?-`)BuO{R3H-38wQX3;DsDV`iB(dyb2qX2tbO19QJfIjJNyE#p=kZ#gG?z<(rO_z{ zdHFO3Ip#ZtNnL^*Cs5epNX?Y@<*4;kfAT1+_$U(Vq$;=diaZG<3H>omJ<{tQ(&cEgH$zKzq3e|(Qi zc`sNP-FIZrB*)$97w<1e89B)|r-81pC+!BpK`Y`_V>P9ayKt8$>|2JhGpiPoP0sJP z?5<$B=7po^ZbPvwzjox&wDD}HWr`|I!Oiyo&6{Px*`2a|n5s->8}q|%nY@4Q`b(|R z3tlAVMYr3RD&_~t{4kIco zR}L}EnqmnWwh*~v&J*{@CM6ha##D@+u9?Fuf~EiC4Y$5h@^E#{PTB341O)F_?%1^N zgWyF=_~s9lnzPB!v*`oOf790M>3bZPBG0uA)Ao>0n@nZ!Z+uvtMxdH8D&xV7jr9*R3Zy`qIVX84K zHiFtHod2!ZR69rlJ_x>=7;ZGBwsvU-V$iCH^HYlsPnQiZ*#2u|y9^}5CEI0b$qNXf zO`WU`ar>7&XcXdmf5^UlUn(e1=BVi_KbJul`5=6a2DOLz;CdoXf)m2Pj-v=Leg4Lx zt&RlYhl2M{W9#QG^7fT{ZQ=Co=i13es{(tnLm&EJ7)4j1^1}fYL~@1-qG_(ObRBQb z0y^`)oGe^@zYC}2S;4-Z=n-3oRl>)}emZ^=h>TX_-tV*Ye`w`HRp)SP>8b41>58&t zN&bD>Fc0~*TfPhv*xJ>5h8u-}8a^tIm+%+^?tvHFpU(?U`wrg3*!!FfDZdXXRDjzT zeN|fWAGr730iQDt__kr1vg8Ny{Xo9yTsSzetLP~`eOXQb3QD6qA-!^D;| ztv}2hJss71NJ)m#FR8!|NIdlLCH zcFqVv5%+cAn&)z8!d?M<#mryax~ck>0|%gp5@Qly<&sJYX)0wXB3c|*^LmFRRsnuD z2$T|*aaD_I7qp;Zyp@VuX^~9)br!!O4Cf!iE}Hv3rnBBmL*)Ai%Y@n|9GgA>UCq+@ zTNP;!EFgVZOQtQIeY+dO09{nkRVtKvvO+QTEC0ts?!++~rA z-4pUGWU!O@KM#SL3%?IRegD_NpyBRc4TMJu(>^GKvMBmK3J1QNg&{0*A8%z<%&T~L zTZ^VGO%

a@3Eg5VIE)v>2$YBRTZN<`W05rdw8SIk$XjfuhU;(1!c)!lD-BdV$$ zsJBb_%KRi83cmqh$|}t_l*+&vz5ib&bFyVtvJH^pDQ&v@qAH*}YvBygz{Cp zH4y55T#(N#nx?GZo}Z7;Sm4gnX3@6a>Ja(tHX_0_OWf`!p0Ph(b?BJj6Vonks|I z+>3Oup)>0%-gyE^AQ-zB$)Xr!d~c~`bp!K%a%vY@XgmbCyQu()gsTL)NMtOu+JQDo zk-y&aw&LVYEQ?$RwTc^IAO-8VZ1XgUo3zL+dZ6!wiG(l$dCA?vs?j>qTs>mcv~`-# z&FF=h@M;WgEOe`Iyq5>jXn890U-h^%36wYyXtO;vT@m9P;pm|LF64;A&irz3=0_-h z0L*`{zdJ;S@dQ)^FRK`OvI#-OJX~@i)B$TQvXY7E$pf#03?O6%UU24VY`od~w~ZKI zG-lXPz0up2<}-OVxxCgV;>iG2UTC0NkgD=T|JCVwP?Du0Z_c4xd5~ZtJmBsD7V@5j zLgubIYnwDnn`fl2-goCV=aPMuaYE96tg<#AYN^DxR@gSTF+!Cm^5ke{>tLK(EA9sK z*jUN)$27~VZFO1LvD0V$52eS0N@zVI5oy^R+1O$>Ft3c0d(l9oDLK+a$3ptvovUpe zmSs_G6jRE23Wvb#B|XWcTbrw%diN$~5Rb#mkh!|+iVUcz-_RQz{2>~%@kQN#{me&1 z+F)OMu)qxJ>LVzKR-0pO$zzu0qMwLyS*~9ynN(waS?vSdRVJAZ$|qx)4lrfJU69wP{1TbRwQa(l)|WD31$WG0ZNY-m125 zM2eywLaf_=t-n>V5YU0;8w3h`LN~uvna;*`MY(ROn*aDpwe@QiWHI*?nZNQ=+vc}J zP~lNT2wkFi&4&Jydg>BDyvqbu^oiNEBY{p zb@OIqnZp9#@{VgV8hb++v)^0xbIRK%D1Sq+MEs8lLg4Y&n_5Qw&FK5|)8Jw{_%X03 zF4M3$4i^MwV8pAz*YBp0(DqM;37jZ2Hub2L;rbzcX2$j4?|~_FGTXX2p%H*6FfFE= z>qNj0X^Uk7-wwEf-%QVpi=3o+g%S$PGlz3rtoYj+qmk_mf2KuMiWazFEr@<*)qkkv zbP9Su1is}M6g}`G_n-y=RWk4zEfg7{MXeA4%k~b5Fb&@hK|oERi|||rSWMw($dIZ; zz&R{J*Te*Lya_y${rCo^W>Q-w!bgFt#-AVgz@m<;-SaDr4{C2x@F%Wv{sK$!VTNa2YwtDUa&2@=3`_yMbA|bKeQcDcl z5^W3EbUH4t1LQ6M=jmb%$&$s@TR!CJ_{Y>ERwR`0_@s-hxPh56sfN+fpq}h^%_|(i)%6qP41w<1MuUA9A8TAwm{qmw1m;Px%C`Jpj1eSANskBppq^qkfenuS7ZrA zn8#U4F^TFGL(%`ELU;>Qxqlm&6RrL{3|#k$RzGhf&YKLWJ=AyUe5*$RMr(?;L5yfD$eIXc=MCx$aEvg4>7KdrVqE0XNPn5E!L+{_DHYqZ z`KDQmSy1&HurvaM2~q-{P>om!&)QI5Lpl0mhlf=p)@|4(h-~{{DLt(RYAMnqmgkk& zD;1viy5L=Zy!vo?(FyVF^XJ*s*`K^q#%fKje5VzwvZW(dPr9Q0umm2N$9#99tMFkDM9qN}DOR*ShMDA4Yh1`Bgf{k7_2CxNJj7dX}VdaGPLGZ9`8CNt7-TtHQ@roRTBX{MOAYM zv00*AO@vl(OTHxdXQHwDq|k{~i$6CUv9CgdQ^`C9(yUl01Xfq5l_&K+u&qkjNm&2S zRH@BAV}*`oJb%j-L7`erJxA&V6~CpmH{}Pc7h_(+b&wd0%SA+Nk$~xWD`u5I7go79?M^vSZp)_At z2ZLp72Ox5NRTY@$1e8~M!Kq5ITAL-seGP-WKktvhxkqISoo(fa zYzlmPy2o^PNHe6JBrD*WimcpxI(`Tu#tv-Yhg=;OpW-iF{>-%Gh4D$=+kWy^ljfUq%B5s>6 z?$`}=y#pAoGgRs~w*LY`#Z;FON;MRhuhlW!e>un$mluVMqR+|4>4(ATbnt0F036nc zW^)_{vazlCtHJq4tj(eQgNBjrI@+dqYNZ*L1HYj*8T>lHQZZasP%Gn%%nRs}a#4B;NPS^=Ghu1-zr?SOc@D>K$NP$`nS3z$% zf5;-b2I!IH8V5D7K}p-(YEhBl5U_%a2sIChz?ua!XM-u~B1}U^ge%-ZhEl~v`e6}u zO)!GzF)}g#va|%uRBai{IkJtC6S@?+6h?I|L}2oYDS84v0ye*j;*Gdks*5ZZzkb5J zjEUkN(%sf{-Z-nXt6dT z1i7G00d%trLe^NOc3!}lCV90lSf)IdNs*4Bml)~=e^eXk1nGcCpfJTP7G*2}jSE@G zC`OZC#rTz$-S{ut0uQ2fo>e-_uu?IyHIoE5XDNi6mj!Xu0OSzW$MB*k&Lf{4e;R9i zHt94*7%#YZDWmY;!UC3F@@Ul}WPD#UvrAt2RJ0@-(zaxVn$Iu~;OypRWa?i_pC{QlI;-XwBx7;$m z6RVUUx((HoCD$yO`Cb@ac>dMCe@Ky>^-Rq98lGYq*Xu=V#ekcMTMdOp7+-kdA&Swh zg6k!2m^ZoZmz@6~o!7X0B;}2$j$BgV@+k{LNy$s;={eX*HF+`?Hu4^DI18>7i+hpy zW&Sc&_dn&}R?pP^`M!`j2))i5Pws=_CGuhs2hpOs45chm5EZU0s(U`s$7)|V|)CQX^IYY@wn zP%#!@zgBiv4g=8Q)mBXrD=9kU*0|z<+?UpTs9BnMS=x1(Y|X~4){@p4ikynz@{pm3 zg)q4<{M)f~kj@~xj*1*?f0o6wbO`}8p9K*ZAAC%tF$PRdEs5F9Qj(@Yw@H$X{V23x z*-SWHfsC`a_}guf6cW{%R>@?nJANA_O$Sc=r{8IF8=r3%dTx6VmQ8BkyGVS^ z;XOGUe+AJkR?Ba}ePCZ+3T_srO@riN6XqVWp2x{GYzG;p*@=uGT`?@{TdMJ;kx@@R z`;Eqq$Wh2+Fv_E3e>b*{DD6flj*F;n{x1pTOHOY3@!0=5o%FyadsCb*rC2qtypET1 zzAIPNUe5brz#{c-zVtRaHfZ*3n@(erZBy@ z{>4k=)x#orKqKs_Gc8rkBZz`5@WLQ1l^N7McEkL9dq`lje`L{~+LU}2+&WHK+E>j+ zRXUPfj_IY93X%w(o~r1WMO{ZO^(yc&CZHlPtv?|fko=dcJU+DZHeLrHXTGYIN2~BRd1Xi;`hDf zy`E}`+>!27e`rD$@+SCjWYpPs#&zHcJ%d7Gub-ie=Z5H6+@(W%lR zIyrmTtWi$-Lz_|Q=PmahC-DCL=++_smE;>*Yu~<8e`VV;5?V9uzT&bMq^zrDuEzZT(OM7;%v;tC>#_T|-VZmrL*}PbHUL)Xk%0 zV+1;jSuD5QU0EoG9X#2-1o#Uat0w?$Eq=*^iQth`XAW?tg?*)~<%S-yc9 zJKCgG!V6pJ{5iUm^X+p zC?XIOg%S=j(8#E(UMk=~@Kq zuQVN*zNf9KyB3<|cyLU#`@x?BT_~p46*N7~MZT+Jrl4i|$njtW>&P)&uHZkqt%q4k zKo_IEVL zcMt~8@Bq8J=XOLE(AoUtGfi0;y&S;ps9|(ixF!YoE}(Iio<-;W_U`5Dn@hF~OIH{p z-*&i&zr1;WGr9Za4}K9CfE|F61wW3-88lWH14+T=6rMJ?9Yx{okc9KB%X3dv)gD>y zoO~$3DLse|i3a(G(UfV6JWj!8eg#);Y04F#2@z=3A`sLwOypxu=^5l6!18!b_(@a? zrD`EA_%SCzw7%r$MaGW_sWb!zem%rp7kQ=$jD)OzrYr8Mi-D2rZ|)I1LSRCLAOSxt zgYbb+)Mm(oN`t)su8dbBjD@;?3nm4j{Dkh+pJvJ(!nKn~1>GsqDKYj;@(6@Ht3a6K z-?E@}<3z#*K^iG?Wofb&Is{Z$ZzYWxMSaOA<3Vu9K@e6c*!est=1os2$xorkz&&Xo z<*2-WRq=YE0K8f}H;=xlf{j(f7AFhCpUdMmB2Z}Q`Ya+dLMPnvlg1@puz(Cdslade znuUB1>KAz6%Fz*qeADp5C5NYJ)NaH`cO*KWG-IdTw+?bWET3SJz|6?<)H%7zHjt^C zB4IT%);-xl0M8Xq_@CQp>(you7iAcdqL`L{NwV$>AO-kW#7ZpD94CoP9<z{k&@7uUr$^YO?Q~C)!|5C&D}o-P=>#m>|9= zqS) z_e`xr2B2Lw9I7W(d5$u~kE$WgHRKX{OuC+vPdQoc8D-9*FM9iWUw?9K*_ib2zZ9KOoH5Wo*;A1>TMV!uLy`gi2P%nFp`#PZS#iYN> z;DS`CM&2Sw%WcB|sYbRo*(T=NBT+5l-?pj8d5{*%EI+Rskg1mi7xP>#Urb~g?cAR_ z267#%eKS`YTMlTB!^PD=~VBlgscEk@$Ry# z5Q1Jk)J~Y7d+DobK+EFfU9B&;OFZg9tLvNBw}0J?Zm#*fZh>``7d<)cXDhD{A5@#p zlqwr}kGK_6f$DUF{M@B~Emya17@T-^GckXtv9jfc+e*~dzqpx~&i3yy4v;Yb)wn%T zQ7T`L9<8Q%Y$XQb1r@j8Wnx;{AvSPi}$~Y_&&w! zKXicp5bL_W@0)}FLG|@OwTP1>?hjcTAT&OzG7T53<${k4dLqrVB;{m2bSSO&t-YgB@I$bzBRvHanU_}WIZY^VM@!Qc7J z>py$B0T7qb+%XlGit8~JmzduHDVNFXF_nMhAX8rcQ^+X#j6Pj|9K63C{5v3k3u{E; zpvcCy7AyxhpRg7}`$r8U!*#T;#a&B{S`M5S+H~;e04tqvRX4-YY~94bFD>9hC_e_7ZKguB?4=f?jReeXo@hYfrwDJgN#xY7a6-nG&L#s zhhf~<79j?5r~x7=z|tlEDGS(&M@j5u+~=9(6j6}5KBP0P(K7jcpxum37>L)kLBI$w z!W|S2mLWUVS~eYjY0+`36UOB&M0J0vwLquy3#Uo}*2aV&*EFT_(QQp&lP?QlF${{Q z(hK)zXoza!#RD@Bj6G%nXQ9UvFPr-*TtzXE9|!DLalb~9OGq8)W|Yz_i@FVxYV1d$ z8ROo{4?e?5AQRp!utqE1N$e_3l3OLXn;?m8?q~BdWHgPS;sJ4b2(<*1JraMnfb2)0 zwxvtzMq%-XyJQ!qc=5VxFmWM_QoBTRnZ#@tbR8pC*UP)5r-L1WY}0@zuct4xKWdHVwb@#EtD$?7tO~R>^N~vck8^PbbN?V`cNw z!8`c(fq}Un_jVireVBWbrh9*5$mQ_+&*B2#xeR2{8Go4|0JhWjHDzb)uAI+jp6mN%4=OWF?M^~~} zDdYTZ&c1=deK}FcG9Jagh?bq?G?sQB%ZYFgmUE^|CCTG(%+qKk|L4(?$*U+krO`nC z;vB+e6wlg|xhCr2l(>JXU#g5&#<0-Q6b}I@8?DQhBA?sz!Fj%v_fk@NJqJ>yPv&t@ zSX)VTaSr^&qV!s*p^CFFPb$E#$4K=^a*ld_#TT;MrbJzIwS*8?NvyU^wu=;kbXwLZ z>aB+W9eRLwM>XO3xAdcU3vRop*1CAQ zC$01JZfEgi7VGM@g(ws0Mty7xLr;6ze&wgLLRzO>_a=XxmSt3sEX8V3Ww|74mQ^x9_F?YdSvFed%-Vi_gE|PC4s@o-qWboJezj*` ztP6>A%tnSe{KmhtlmFfw{SX^Xigau5&k^qZw1PV7h!6$T)nyno9JM5!V|yiAqeWxe zwr$(CZQI_lZFOwhHam95PC7})PHx_N{y=@&&sok zLAPjN0ug#IL}Yj<$brsqb-lFQB?f778s|35c(26I0+pzDZubeq5(Wl-oPvIJ;iMPh zDQ_>m0(#j`v{_RXsV5M^2$h{5W%g$R!;FUsA)beW{h&3uqCg=HPay+HVMa|@dH`V} zjVnUn;Gn&|h_5veq_Gul@O%Iy05K6BjvtO`k0_KxtOFqt41}dlhbedlQ9kgSD4N1s zgM33~Y>GL$$2y5|W~C`nG~b6)x{tp@ekr~bSkVhEU^>57VGjr;U+9(Td1U96J*164adwu)OW?(XxLCzy&6+}cER zI9Sf-dcTQ8qW|>uwo4I-d~ZBafjgyFHqAPlUvnzopU3@JnK=FMTd&SmXO}FCJ3~d@ z*?FT{=95nr*G9{}_Bwqwm={G*t=u|WvaTs8iS)&d_4|-L;S&G^;|GDNYAdX%ok!73 z5aWw!(qB~3ynEo$gvU^9+c-4VceZqRZudu)$3E!`BULTYwj(ZZ6c zSc~`j*oRy5PX!>F-NzGd=i3o|PG%>_ye24MNl1qCso>Y9SZ$tpZSM2COvG9&?d9Y{ zW%M8%Ne@G&sJcBZgy_T?j!luV$D+}RCHs^#dFUIH(2+9qMvN7y?FjT~>IBZ&b<*Q@ zWfOc;LUSjjW88<1yhkD{U!!i_*eyfouJ%0(JwQOy4h8VgCEEB4m+~yjwa7mjw`P}i zv@hkrqR$7UQe4bOdr>{z3kchCuI$+(Yf4t|PrUGayG;0J!s*v{R_2M}+yH@o~_0;T*k?^maMMeIJeUH6uN zDkriQ)ZA_k+@mWohH4C){XhbyPnezHuZ*7ebV>0KOO44QE&BEfuf!kqJXnBpFp*=* zRPCYut`QXyb&$Z;J81G1qe&|CfoP2er;i>2G#+L=7V=K_Bp;_O;S@o7C{*^>2PEfU z=jwk^vGBya1b~jL&UUpH?PLA)dp|w8@VGM{0>Wg^9NI_9f0O9R@_Unu1E2V2qiP%; z@JiId9liy5QH;x(+(q4dnndssV3w)4qRn?$lLkl9+Yh_S-VMcED3j_$8B;F9QPw%G zVjq{Bt^`Hk+yFthfzCyV@eZ766b)j8ibW}a3vF&k1staMIqI*8Ki=KB_!z&R&{VF? z=8n8dY&#a+eNAf$O&GbQT5+`Mr5w^xE%42r>KInuscaiweN-x;z=pXiL|4ksN`_%e z!Xj-@oJUd_rwciF|3EyMj};7|t|!)w5gPI5P(bD5V0nqs;~GgVx=dg85P*KkR=x^M zUh}5=08rsRb%3GuW#HEH3CNgtH7O1vj&Q!8#0 zPOMdGQ|wAhSRzN3=ork$_%PTFskCW)GHP|ew5V8wcGCS)*47t5t|+wCD7_&j&6a>> zisDGBs_ZS@u|Gxa88yaE5<}+qdm(}yEB4Th00S2~UWiNRC~MAfuwzCqddD6Nd>=Fn zJ!;Md^~_)3PAqa7j6?*@@N-R(w&1>~s}Z?R+xCkdc3^NQ?4evv&shcGm+K?Ns{^5l ztWquI>q43Xp}o6eyD7$r!|$mbYI=NTp>1a2YRA0#Rd<0^BKeh_Ud|8o8H?ZW5@yQ0 z05}66R?!lwCbZg($2gmRj+uxD7QnPUg?lD+O<1vn%fqkvJ{6)TuW!b-t32pv?E zglx(Xd}}v#+MpxQB!nVj6sWW!oE2i%YhRn`o=~%-*^g=|s0A4tkU;%ie(*h2d1iEf zsAy88fu_rNu{(F8N2?_Y;&7cN>Vcn|b9v~U;Ftuu?Ia@cn8r#3iDrDL8#JJ*aY`a5 z#h?NOjt@-H$SHN?w3YgB;>?5jmNR5yP7dbE-FqC&r-oiD-%w~c8T3_Q!nDmNtlPp8 zar|G;epzOEr@UX76Uy2x`(o^ZcS#1uVZd|4qFwT;C4(@$we5epW|Fi?lz1|g0fEta zRJk7x0=-yDL>^Cp+vDVrs}hus$#et*2e&*oE?-e++MYlQ(YB&}f02HayR}ze(9X)2g0~ANHoGv)cjN zzBWG<`&v>!o+Wm|XxZQKd#R0n)v}w#tGhHDfXM!Nj_#n{ zT_7@SGIOwQz0ORxs9eCIGbv|B^2TbQl%FlvJllC)$u(mXNk){eFD!vSBVlp6PmD zlam{e-~pOF&M%(nlI7VeKuPhU93X;+$adLreE`_29JN~*cL{^g3 z5+Y~9FGZlOx>mu=0?%`566B1mlF)b5XeZVG4X1ke39&D_rrREK&KPEg7>gOS9P4?( zi2(bb20fn^JXxu2_~I6@9x2;`8wXhVUR?wg?xmrs&K5W_s5)Q+AX|0ge_C?p&&|}X#M;!3IzK={y*I%lW8k*k9`TV=oWNsAI z#9K$fK+x8U^QLaMum3{ip(`ino+Iw>F2zGGpG`XnipyNvOM^cQQdT74>geOQbFto2 zc2iEzG)2sK$1U*!KzB9mD;0N`L1PIx0&Bd~_65Gi-{df5zFaP_xff`&3a_!E|52l6 z9Ekyb9JC8B;ef^cuS#_{iOwWyYmneMo@;h%e0Fk7IxTI}n;af96mk zN3G=&0nUMFH(4skz=Gwk;#rXmR#RQz`_6S~+x>bXLLg9K8VB#dCgkX_L+S+5l z+WdZM|5Z%VUUeZ*s4q}BDydN1-O`!KMquyEcTDfMwJ6Ia^|>&(;B`MX_&5^WJe=3a zz}t?f00_dytc-u63hh^uG_uwPG55)1?6oa%+S!KfmhQyyIcj#&R^S*3mo0#aBnt_n zagFuC)np_z;P%{<=ycDP9;IssM+t@$=`SbcR&*rv>(%^`$ra4lY1jfxLksKH!pTvZ z-%ZnA?z8wQFN_B0i-FVLipB@cZBi2H|Kx zMxjS2(&&VNjl$MRTVThet^t6>lI;j%+w<;;dyzs{#lr{uT1l;zL3uu3L=63qQAB4N zJxl#mQ2@>PDMTy@$230tdOyGH{Z`q|F;}1ym5aYV+P;9c@*kEpV%m@WrbK{fHI(Sy29OQr4l~VKSnhKD~>tGwbPd?CcmKFdM?4lmNWz7sA zdNQARL@_4SC7Lzw#xzXR#<(Yf9UXbWJ(YDv1tyf60YNJ!7^G zZu?(Rn5N9FIWyz0-3XB9880Us#gIt*?Kz6OvdH~s(V1G+i3OK$0iyk>535cCKQ;LS zn_{p-;N| zclm-5Vl(>9ECTI_lEgKTIGuNd0{R@k)!7t7U0l6qVkiuu%}oCGRZZKy%9P)AN?!^C zduIas*ehzF`W1lQnv0Jb?G9)<@ds7Fqb+PeacH9WEp~|{Fv0+0v5@8Zv;{N4IVxwi z^gyuDOm;bDo0xUc<*DPQRQ~MyU7X8+mppNI4tgnE(myCA&&qdgX69P3oVJq7u%o;B z_x*8;YF2`^*?(xMI-u3or?j55RsLxLWkhwPu9o=|>W^Z@2J=9?UR<())8u8qZ>R0^ z<8pV<+Iuyc(BlJwbLWBBt|f_Xzs^SUzqblw5vygD4J#+ASh&|Y%b~X>M^vy@j zbFXATM%~ zl1>5^7YYE#sHPB@pP^FCSMY*V*Mw>yaV62h(5WNtb_ir)W1BRmb(g)a%=VFm5S^+j zUz2L);klkvwT;^QnezGCK_h9ag$kqEf)-~c(EKJAx=W0YWJe(Za!(r*F0}SRDP0++YB41|9(C9$rG?C4-9qQ=tu0X{-(f zyjYNa-)>2~=TTrX_D~|H#$v8t+j%eigTym zllIu~3DKC+8;L7heG9g=`&V8r_?_&&6Y)Yw@PU+vs z&Ce|+ZObO|%zF%oVX48^Y=y&}+eCn<9B7ARyyKN#5NwRwl?yr!d_o|apIAk23>XLA z1u>?WGNrLD1&QAvzEwr(%fuh~qCS+^0znCm6U=cbVL6{^sf&qLw-0Uxaka|Rh+aUp zN8T`;8y-@y-&Q~-&OG#{K%5B1>A|ai;#4`~^du%42z!#^(TqtYeyjExFROlJEkb}9KZ4F zb+cct5hLIB7<6?}KJXwZ{*-_ivPrE~eDf}irPf^5#CtFo9%DFsbAue;DGT@LR77_! zWJ8sjw%9fxapl-w*K-!xuuY-NF`<^{c1v_F@cI2H4Oj&!uoDA7;BrbuV^Hb zF2Iu8Ak%|LnxYS8%RDl#yCr(Xm{#%^mj>1!5gmkk`1V#Ks0p^Seg8{EI?k7TZ zY*k7ryiRR@CayWi!(>gpa`~R?j!B!yCfn$ejNNY7f_PzYeOTCjFhVDtA#Bi?TVAUQ zWRkye=}_**y$GP`5Zd(ml05g%Ns1T1IQObD;jN3mxjPOtIZ~}shu0z6!`}Qhz?%#L zw?GW(VbcbQ&qJ`SJxoN>qDN`$hSojqz8fW(S-u+`BU)e|j!E|JR%*4><3T01>}IPt zxt6*%r&~Xg;M<|RR2!{X;Q=&8EG;by^J8-V$Xli4XaUz3lF*#BiwpgJ%XJXT&q&Vg z1xOeK^}&r#$GJo69@OXN{B;LG1rJ{e$X5&K=QBhpVOPjW(7|BKcw6@OfaA zBO9&6q_2VszF75A5I$ad+ufFmw$?uFP~INtQ!`-2v>f9Lz5E{WCp{=TinNSZfSdJijrkX*D~PC$NPK<4ovJK1pz3k{|rUYM0AdOvsxB7R&~fp|S{n;;~|W<&Zk zTGn)9p1dWU;PP7Wqm1k{;dF2Qu}m8Tz}!(~5)oYr-u`sZ`XN-DLf!BH*!x`UGy(C@ zF}pve`F`ytJdQ4}2LNynxa;aqNDV zP2A!rP)({z^(gH>XX0mhd@}$J>{Jf1$(h8qM3%jufUC!bO)$hml?E$rB1^U&j)V(} z9^H^@qC*S@v}qIqyp@Ex({}~s%kH$+|HTuM!+L@J4GC<1Td4X5nl$SS{~wGY;}HrG zF{2xn1uLVR>!+{(0n3sBfXw=P7rj{Ei*dtfF#DU)g3tmhQx&~totzM&NnQ80z1j0d zojmYSGdkLBP5JsvUw5MaKqOSHpMd*J`$^jUSq|yz!0f3t7 zV+t4IVKCT0X65fS>n3x}?o>K9*8p4!@iEPwOP8<#4!KT@gp>|IJD!=bKJfKVl~R(t zL0D?5rXZlJK< zIkI`zW0t71W{G~hnxN`Lf}F~20hyt`IU~)JeRw^%c-$gzJE;&i{laBZ z0bwUSr7aHsc3^gY1j z_EJ@iR(-L(%x@MGI!XBG+}CJ3L(oE*gJ<3EVW?{OgA4d>(tz8g45WK9=8&>hP7{~ z5N%NK-Ds=~yu`Oqdw}>ixSv?Ebn-S(s0k`c!zTQKdvcqTc1YV3veC$WbwjSBj_yQn z`?h$A3jB1~)EQpt}{V;^K1o0sS^y-2N=%qXoyPocfD zY4cEPSc)}N8t0=8nC1n*p#@ao(Lj57$|F#^b(^V>qK$>2^AJh`-zQfrl-IS$DTxf8MikFXsOE#jnqN(V z(A2ADB$7vL*iBaTrlVVa!@a&h@#}!%PA!n^7@%y z8tWzzOg*QlZ4TH$T6hbyH875pNbZScr&5RC>iN<|qsTYSNXE+D!5DF6ng13L zU1e6gD~|TP2z}2Ezs&&)GM)VuPUijR;+VYPs#yE?FmWURB@wbxFvhRfjbh<4Q+d=G zEKlMelME?}r@CEfFRom^-TjU3415KknbJ>^IeOrx|3kf7l$J8q{2Gym*s({1S`;18 z8&qwV9Vjkj(!y*uJh-L%-xE;ml{H76Jy?ReL8{)fDGNx^r8|6nvJ^5uN$fq=q-)4WQEjIo15-Dq-s?LaFSax3~;EidUTk{>TGM*cW z{NAf5m57gL&9MtCg7gZ&{*Z;5HUw2EtHAl)MZr@H|L^4Z_|@jof_%;|r;IR-&1-lA zZ!;C4d3rpZ{;oU5RfbjWpb=c)*P4b|@85?k(0%>lV$$hG0`7768LLz4Y&FN6=|Zox ztt!t<_cxw-6aM!_(yc)wiK8A3Rc!q?IoUNkBC`;G_6FB+OPvo@x+XA?zZrgGVI9&bXrroo&xJFjoXEi_2e#Fhj5 zqRqbIjdE(Nmk1P?b>WmmVH?mYJt@T+;c2X57JR@+9X7x;qm;2EeMS$d4bajA;&0MB zECAzxvd&3ELqUWL!O#n3zej>qZ)AhqD2S$jU7ANO1M%Ebjy@pyWQr*xllwLr`{ihi zpVt64>hcrxEOOr)G}J`{?>eE}Ym_X3hL33sX%Oaj76pDdIYyzU$-rtQO_XpiAOm&< zVnIQQhP>`VZSia!D%{E103D<#Q_wFhK7lc;&NA}7l!y2d1GKSr$^k+WYZ9WP5ycQQ zTvg9MuNWX{)e|M6#v)^KMNCO{#R!XwnepS<@p?1N0@%#%vl_-@^%&xObHijOp5*S= zlF0DDKE3+LxAk)X4-k!uF98m(MB3@pz#2d1LwIUSV(sf)G2^x>08r>_wBa%B5MxJG z_ht_;HBk(OWE?^(6{@CFjVgt2K%sF7b}6bdzqh+Jjz`&3>6{X-An(=$lJ~m(%GJ1v zws$hhWH7aMV(N&i@N7!BPq+4ZvQj9sRYA5*Qi%zSAmu^Rgw$NRp2TDvAwG=Jwn?R& zeVm>--B)^M9_9``U_%-#4@;8-*BQk}bxx@-Al&AC3!Cpa8Xln2Vb)mym0#;+9B1oiVUm8{;5!RVnsebJ6BifdE3f zRoi5MmWHkK8<(;?h&lX3#r|ZPvKx%d>AevO-Gz`tb%8=Yy|O<5j{MiwL8eUri(h4S zObreL!m+X)An4@spN}%; z<^0F5s=OatSdBTnb0E8gc{*Q<0ZoGl7P!+EvZ6Aj;@qhR%$HITLJuzGHJ7{VNfo$qz+sdEY030YtnV3q)g)M0;)i54Fw9GapL4 zSE;|d82%)TQIz}vzZwdIxvnjsjF!^by|CWQD0@*rHAg1S$&Nbj%6N9)MFIUBRn|qBQ*y<%-65iJ)Psr)gl_vq&R9@&Q)+W2EPB*TPmOk<--4FXSyF=ijigi0QcRw zXOP;2xyUap(Roz=;gO(W69-HRdvYpnQhs4ze0Th&ci+l^>7>Q}5*p_R7f7RTzNVW* z2auZ{B00B%H}AWtqqXDE=eUY>hwQ@(VNIn<8Qu!Z!+f_r@U~p8ZR1ZuD7~wYyYjXb zjD6nATU*TS6}N5hO2(ae*x$pbeNYL0uB2FW>#Vkn&wpAhe>+chzEp!SD48~a=YNF^ zk68u%_vnq|ux=e>SWh!tK&_8_b%meg3&>A;cL=qO8BMWouXhlH;xnxHXtAiRX>m{B zll4EW!Nk|cL)5pjwfq5<~AFiY6NNludOhh#q7)wTSs%|C@0ayUBc*t zn1^A@&kg}S!j@=(?|)SL_>xyh9LtWdY%VJ-zJ~A27Ncxf_W{rA1394*fH5arjMr%1 zF`S_^z;%3=M{sQ#W)GE=%8uCm2@COdzW(2VVTsKm3eZi+;X(_Tt8aJ%TO2XPHJy=K zk)dr}@z6};nAL5^;U1BmM>6V|Io@5vOok?#r6Ak*rF{jP8DjtY`89WrL&69F>4ojZ zov-6diW$my0t)mH`yW&U9~n^r-r_vyA@$2iY{@0BI^K$OuUiD!-fv8I(RgOTE-Y?s zXG=rBTEL&en@B0cn}_46O-YudqSPl~VFZ8O+)M#E+~@a~`@LZ=C&7U!ZZIaO7D6-# zDxd|Ie^Abkj5cm)txn_y?oNCQklTA(EcP}rInu|%Xn z;4heW%BiL5xvMT!c=t)Hv`wY-$6;T269b0DR*!?sz@bQ3RquHMNCmk=iHEfUeiym}BFC%%TMncjRLY zGD$QnwPT7koePQOG2$)yF~=T2bb+?`k9uvt#oY*-2!n_ z02(c0;xVR&tkM2N=`WrI!DubY3YZsdjdhV+i47Ko(c zBY*noD!Zy2w8c`YDD$eB^5$|g$Z#;uKd+Y$qqGX@f#K`fuF9!RT;Xc#_%zJFcA`xT z7}MT$$g+PU{PWAm?>!?#NMo5jcm)@k0{}=XJv(c1rm`whF3|o$)%L~VI_hAooV}6m zrV2(Am*?5o^SvaO+WX-*vN?-sw9-cslgbEvK2h}<08fgyHt;B!KQScBU{qEdKw86- zb{ZI9^)?_oPAzpLCPfFI-ir?k7q8AHUvS?*9E2T=hWal^K7XkWajLUQ*Myjr0^~F1 z`_zKy3@tZ5T)3CNr*{OI0$^Xs%2=W^NE&6@kj#riHfk1z#ft$n`h;f99bHm?`n@Mj zeKUL{EgJV<{UfMM`9j>X8Cty3b+`_$ z6iMg%9KN=+vK1#=eoa>sFwe72bCTXbC9{8|MD1id#6vBokfIkOxg`+Ucr_V5 z&eqqtTAr+&^u4InvMzzzJ7OQJlHFHH^0dF)Vwri(&fVO3Cr6pQ=~j8Xi~n*IpH-f` z6va5;%;>(OuH#VsohxA%E8a|tgTg*uc*17V6PXhNaqiQ1UxKJL!lGEhEtR6TI1pMV+MpDgnjJy`HicEU%cYJ?Vzmg?d|G+h0r^YHNH}mH$bJk zd0I+!eNC7ep><_gV{N7&nk~q+$|l`3S=Cod1N;8553XoU4;VSepV3F;p4&byT$_x( zzD-mlHipbcuqX7aWS|Pt8m%$T6D8~tl@L8l(f56^V?7;ixST`W1g!$&_%Dq(30Rf@ z2rRExro_cB7XM7&YCT!6KDS5uy)hfNMwqvP1M#4|(J?Qy=@fTAfQ#8vxOxyV``2&d z*{!?c8x+hWdFJIGvu5+#cS7x4jXfG?m=TQ~^BBH#i6%yP&@ zY*_F>RR0D;-6ulTWHJcjP|zd)T!r4xz0xn%dgz?f1oP+H)0PBMLqOIl!)FN+yjDx)Ax#LVEf?T#& zYSn~p7PB3V6izo0$VWq1odVeGd4AQ=xHD*N5!ye85x_^$wI%Mylh4j*%7VrBYa>Zc zU>SmkMV4X9tfUubJ#j{u+&)=-@rRLlF)vA}+Mof=$$Q)!YAHQ`>VBjkWdXnSCZp`d zb6Oy54M^UGvQf$b+l~HHDkRK_M=sEcwzSeyrl%ivoje>R2=Y;M@FaH4Lr!5MHq#|x zbnrCV#WPZyG8nMwQNX1!K#N^=;EG3@!0m_SSW`v^tC(Sm=02)=Yn`#V;)xSmE{o#j z&}q6_^@!OeU$d2si!%&{?qLy}nhh&YF921r~9Dwf(wm+m%4<1^d>rS&uk1 zrr#+P-tOkc5&m;Q?6eUtP^|ydsfH=`P#h?KIbHwrB1dwz zEx++3vEGS0bgZI1d(Qn?0?AQsNH)oNSiq5}I&~Qiz@A94WMw^>l$-<5sGu0=rmjqm zqNd<-sN0$*gkrT&5@|AL_V;+F`P?ox_6+L zmpOVsM0*fnjSblJng$-;+M$nI$d1S_Q?`riz5CmIkGD3DM11f!(TET0JiBh|;xw(D z8o_{6_*ZED2u~u3R(N$l{OLjFlLQ`o(~@>Ul+Ole*B2y8)1A+MY28Z3vH?g+z`K16 zpWd6B5isoi_2J6?!*DFXFW{0iLkq$x;m?48O%SAnkAi6!#V}={NMPhS9%>LgCf_MA znm%&8J+TTdpHMi<{~(UY`m#*HuUOn2Uruo#MvIadi;{WUFhc`kLD1C@3?zGe*F}Ov z5?ffGKr`sJO9$~7Yu0&=mjfw+rdm{!BI!pP z0(D4r&P~GhLLvj@ada2x{{G@KgE=`EFp*kJWwP?pNG7Xu-K`};(e?>+2yo2MEYEva z@bgDgzm^-1!q|@A0ZH@D>v$$HU?{w&L~t*dZDso9e=J@Vw&9N1VBU6`-IHre4rPw_ znEC`yglZW3y#Um;jh(nhknIw<+D>drn0ai5D^RV-`*m)$7$i0&>4Ux27cw6^&L_|& z0t&n28?`?;kr#z@=@EXi+gBWiHfnxRCO}X1g7n@;Wk|>)K%NE7+Q@$FdhNkhxcyfF z9+@Y8`sL~u-|%9J)9A2114z#lOXM5u{t5oPelsM_7%chiv9?4p4w0Ke;5m&9!G%~I zNF7K0sG^|1=C96(FV#s9jzkmO^q5PEnJOmvo6-7Yi$*X`)it{MCaEU%+G|>kl6%dr zR7Uk7gsSL!0A7RSJKnWA0twDlKmp{_^xl4FfoKU_>HE<#N{1yt0Fc7Q?0(o7tsNPywf=-UJDz9| zJV@xvcNTJb19Wu$^e22&?T$)MFL2Wt`e3@<(`^g^@cKYqsDiNxpv3Z>8v+4VQSUwZQ8O1})#g#_h+ zXo<4nR7}}8ZsYy4(faz*kIN5Ker?FEQ2EhCsMD&(Ey!46K4qc$X*@s>mk>0>^ zEr{SPBGA>sWUxm!a}?Y8X{S(l^6SJ#NeFXt7q4CD?G7I8U59i%gg?Kk$KlyQ`y|iS z9RSW3?$fHx*~o&xlpB&0U8pU%Ojq>Jz7}Fw=R4vr$&HX=-wm1pC+#= zAzxmjc>R?$ML*{j))*W&ahxZHt_2g4U%-2}**_oTRCKVIJNgC33}aV*D*;SeHn+Fy z#M_g$u4qC_SKSF@n?DU9<&~oe29II`%!~1~%?|L<9GHI=qhG02btcoDf-a9cx{)%@%)b5iZ`8KG71nV$ZKRv;$=c8YUahCN&+0ipQjPyzDLd1$tO5jF%d;t#) zo2U#ov;Uv*9Ircev_f@={1g%lw?`1)g9!19p`(-83P#2V*NV+<#Jbb52$4(uaZ8Uf zkD+Tl5kpL>H9YxsO+IS?$vSK7hX46p2l2fcr01wTe&{PXKPfMFfQJ$UpYbvQO6B&y zluvQ&2#fWj1#Uu!nfE057h(Fxg_eklNUsRKnk3rrGy_G#EF|-Qw40+PFQ%Q}3s0RX zBHr6)R#9m(5PfKv!>=PfRrx%LB~Q}~kNYfGg01fu&Ux>J5B#TI;>;TVHh>`Aw#afXWm3tmQMD3Njh&I~Aka51s&_h>CI zM)G6qOh3k}p*=W?Gh7v28Y;M8(!mj0YRczC(pI)_sPG_e>~?)efUY&R&Y5Vhb!c=O zuujx7^MUoD2gK*kR@luP9-Z*E3XILe4J2?Ou*snfcuFsXuGp1YRW&E=T)!b?i=BrG zM7?V@pU*ogDq+{7mDRvG>q@>c$2w4Prg{;ubeSAl5iEtqac>wP$TZxm{*e%bx71xQ z8#<+dM#=GfVH&3&OnR2$Z~#DV`xPji#0e*)Mz=1}RMv87N@8kD_bsHq!6#vD94Zo+fV})ZoL{{J zfPP>1*X!rz`}Sf_cd$IRN!SNry%@4lI#q7^S2q49J3 z;YB)ywe89}&s}EjrM1PA>^bVgkzAc&03g$%pLSfYO51}>1Z74Xav-f@iswhR1f4erQ<>D7%5Tx*@zYBV9mX?wrtGSS>xau_H9HI%$!$Q=W zhN4OLkT*5wmY0PFHykoEN@4dF4jpO(*7Gw$r%r-*#N8$l#y$ z|8}rZir-G6(U`R=nVNh)=b83j1-Q)SwbI9bDCEh$wwv{>iI%`EmEn}`s-!~K+<}~$MqJD26aJc++qiv`o+79` z0oT~4*`%4Ff=yXrnceJl2?esOkt16r?JU}Zeu<18K*aX>TQcH}GovUgft_mqno;lv zJ3u=oX^jUxhUb7Hw!L5U36T7qTVngAQ_{1#J)&}!9%p+~AyEa>*}eY72oc=-y}5}n+0YRaL7Cgq6xhi_C`p$FGuM`#?Oi4EoQ$&U%TaxAD|{q^gI zO8IcJPO1s=Yycm+>l3P)oQQr2gZO(r1ZjavY)?9?uH$iGqGxq{gRhn>VV&DpULBVGo4?c!h|gTzO`mld4m}X z?>ny*&#e)qE>(N_%()62^JiQ|N64i@%(3QdVt9NJ7I-A|3MD9zmh@CwlTDl{LPQVC zFBHyJ2I$-YSzZ=N><-us@0AqXY*F5Qsx&G|k%nj_Q)IVr0ABAkzTVWWJ<`PB{z+hH zu$(xhP)0@B)c5p_l0`_~FQI(*-aw&^BB7mmRLzDeDXks) z>V+OH9yCimN6sONTso<>2#fR8Y6K)2P5elWWda9!VgoAHawJ*%Ydp6;IxG(DToz1w zP_!{!rPzu(c|EmR8i~r;6ssg%Ml8;y(&RwRgvG4^WH3<)3t(iw&IpP=D=PqfsI?md zzQ)Nt=ivS0Jo|w$(DhwKbF&DT>au=}wQ>X@-9`}4@RpthyWKctY}_fWx`EbVL( zsRx>83&D13aR$wzg1eTUh0NRSCqlszc2Aa^SAmK!-xo$~67$G5N6g8X$4iM1MOk?~ zlgn-?^x+ni^`JqZ2MN}Q-Mk;Bjul8aes%PjD!$fmml1~nwIiWpb*fJtr;mY&s>GYp zytU=ZC~?D{n+Y-ZLtT7jji0+?-MCP>uVl<}vRDDI-9YVH*8$c8M1_xO8d-G5_K%kf zUcI3T|^W}SVcrh0k4QacfssNwu7Qcym=pi$PCBqnX>2{z9aHZ4CJ_nyu$ zXK_^7)12Q7(rRx!@y8t*7VIopx{%U3*QrVB6;hymMZ8q65<qU1gR{8J$Bip znFAceGG~686y^AdD4_3p%ls_oQ{4%s%&*2^dpM+KxHW)WQ9W7qn}HMU1GJk2W49P=DI1Hx zWutXLfgguZ6zc4ded4;SCEkEn_<&RyjusMaER#vBH2*k&%+#bOZ7++#wa%4&IQG9fHqO`V;E2Zm27my3FyN5B)>0b;9u5Jy3 zawTjMFuP%-Sf6{4!6sm)z$pFK>fF|0_TSuJ$L>*vb#lM)S6wdTB|TUH{3a&WD7ao} za8x|3u{x;$-xHcIa^nPESc4J0 z%ap?4%}kA+@#i7-U%pYDA$EG_REN`-8UZc~82@&9z58Jy)!0OIPrf|<=!&3>yX|ehUTXke4|8^#Z9>oor0ZeW_Wj2omC!^vO^H5dGEg4){Ns&apkSE?U+xJGO1x zwrzE6n@?_46L1TD&I%Dt z%&pGQ>4R#6;#05#ETHkZ<2wq#DY-$VE=p`!s52<;K{*&2=JT^2K5Rz=VQMQY)RutX z$$z=pXs=DDegij_*p8#a-HS_^r684_h6t^LY%2ypur$c)>TEZDjZG!sAg$V3SazJh z)pK2qM6*X0C^pNj7au3;+1&?-<_lb3o zRsp5zM&zj91lJ3nGX3&q!tq*R$O@$8;U<+^RcBzq7XM1r4g)3$f5zO zO=I(wlv?U%M0!YWN!fgyS&)e25w$C~5Gb3@G7^Ksikrw2FD;ELVe@xI&-VcO{`G`l zFv6MSW|XcR^RR|A%awOq+K+}1Y;pSO0b!`D`^lWV;X|J+WY$+oT#K#6Cq|~Zs?G>> zXeW{^tYG|BE6atHJ+4d$NFQtL*qb@qkp|8$lyvVWB&4Sc5gMh%)6oA`lkXnkj>o=1 zwo3jY!?pIyJ^WyWAZdUpXB_}!{DA_RIrY*UmC~lDR7LDMRs5ClWW7{2mM@@sRA}^e z*Pk{~RMCQ~hv~~>pmFxAe3A7_Kxi_F;V@mWr->!{<`Z=~@^*ofH&k*Nh<1M@O7FLH zSGLRFpbgJML_LXJVkdRIUh=P4=%3n{KO4&(_vItLaLusXGz%q2j(bf21}pz>1!puj zr!PB~N58wBp#f*$!_hBpq(^ls`mjuwMMmh_U!g$`@BJ+V)EZFX2G^_95N)sB*2^d! zENwYi2475E-ECPp`nq~?TO;7$pqOo+^Z#C#s1Afye{X7}cutc*W!Qw2{cIwNT&1)q z&AKYHr76JMlvdJ;%x$#+mqIM9r84TsucTwOQAv}Tgg))Iyt#i|r~0H4DaSbAps7QU zgusCdYqq9XFo&vFGRY$?#_+44CsLAMNs2xFjLGSyNcNDgty97(H8^4adShIgUsNxB z`D*id15b|mM|O(b3}~d76p}UZOrmNl8P`hU^1wPJa7d^-YoN~nhH(qZC!X%+t2cbb za~@|CX=TvhFD+L_{F9+Kk;=sr*(fH8=WnQ>)+J#JKVJ$Fjhq_F77@WAx&YK_HNzX zBsc8wi7|>I^95s?dJlzwlu9P}bKhlWOa-n3{<-faX8!MeH%(f-$X)_4Azr(s ziR!sx3?b48{sYEp&k4XCA51YX&BnV_1D;3~w;}dlRXPvUPolOCq{X_sQtQ`Q*a!#Zpp?C5*()4-3KD z%Ok|{eGyfJ+P_BZEjcdW@l*?>J?i5Z=P2x!5CtV!2a?0!T5*O#1EQPnp9n#cW@Nzy zzagoY`crJ0q|OUAXv3_3pj8mN#FdK)czR(Us}PQRkzwlFWvBjIQ)+E7tvgW`A?Q89 zdrk-fDaic^R*k*h{6^LI*9B#1x$Pmevbna_sXc*}J z*98{gtZ`bPv8s>WCMAo}&jHW=iu_l+W;=GrX`_zo*TAZ>hvGO8+^!KU`-Cbp0GmX5 zNC6H@V#{R`6n`@ks=oI#-{9?xQf9GB*U=SG;`Df#&+HFJiyF@ud3Teo^4 z_xIE5NglUtyEM@p+V#Cn`g_Eiv&x&NR`p^o#!|2^1_>tlQ(2ByZ&r1IFkUrq2YH`Z z6x8y%`dFpv_U`#PtZ7Ts{Aa;o$*y>D;Q45Qi{9S{mC??8OSPMi0y1!UK#52>dA?Q5 zndGW0{vGkydB`C$Bwk}*u4fTfXAtOE003O5^Cn4BWZHQN8X&x%rj~s@|;L=0y@>EGk#B(A1>Tyb$ zX-2AkIS!?U*cKQrJ&}!fCy(&5)u}a|fwfil_M@kMhb!wu_sYdH(RMu9&It}Wi2B*V z+lrQx>f9w2#!p+@Pa+rlV_*T!?LQ1-w8!_%wJ+nl2Dcu*IVaa20Lf*@DB4=*=uXi7(?J2lcTX@R%Zt=6zj7Zl_d4Xh~ zd}4jHZ^buAL~w*4z|kZ;TyEQ!kLEppb?MbDaXJv)YW zC)egA+s9ehzKz9An)f17-Yd63kn_W%GAJ1i#|p7!5&6rG!70v9k4sb;newWI$n5)!KnNIN40{eGR++I7 zP7D@$rq?d0DK*qz#@Z!x)Uc9jLqP>ChZn8cEaz{*{sBmofiI9SxVSm(fF^dWJIwYX zA+Fzr$Kgu5@AjyN$M46}q1K2X0f)x*=4&BQ@>*T@!~E1s6AlLe{PV%MT#1ggrSi^W zfRUHM$vjgqyz0mmrK!hA_wgL9C#f(S^n#Y=aa;+HojwcU1B3LH+pPw-gE}c{1KI6& zI4PTKtpUPNFnS8NL&`TXPvm?7C+>CHpQ^MWI3ay%J)ClyLm|8cPD++%xKdGH6y-nA zDDSfAt!T9!7$k+eUmMHdDv-x%6tIFmsK+@mKGWdPim1qwFM1O&TPkC|jP3&>e3rS| zyxonDYWT^G99ZV2S{ORw_YYgR{^7mI0V}a(-2f4p3mwpQnIgKhV`i9U)m=nXPE^(1 zJj8QKk9t`be`Djb*elWBaq41YsEo19u1LjdH8nL4g|90CxQgc7ZsHqIfgXY2*pZaL zOp^7Bpwd$Z-ZE2BXQzo2`_PXPQNax7G^ockuHBJi=L#HxL;=D1f3n#o0KCYp~Fxm zbB1&0(2>Hw&SyCa`y}Sm>WYlL{2EB4p9EG3;HC6Cz?gB50{Y$f^MnLZ0q`yBN`Rf zORc68jPMdOp{+7ulCevu(yC4uTNewS(yOr*u_}}(-t7^iS4HAsDHHJ(bt~F6cCb(f z3hBlfR7c-pX2H_YMY<#ew=IYXVAWbZO$nh_l_Oe`I=}4&h~(Q-Fn!)UX%>^A0qO@9 zUst_dd#{>eA~Lab0<>@hUMZBEy0fNT%O2)3Sg`^?cx+?_K^~+| z3QZ@eaqyTr4jN5HevLxI(XBtQx|m7Qon)hcEhnI=qx!Nt0hpcjbJ47lDK@6UseW3m$bIf4iUh=~oPa>q^+<7d0Q zg;+B4Y*IQpYY0p9V{Jsuf*ZIS7&Tg&lPq$8K%NOB!;h{9_~DZh4+(;COSe~EsBBKH zSG$54#$iGLu(Na@ME8igmDEYel|ZhGHcuPC3}@( z8Wi-sY$%uAHm?6FF?SMDJ^;%iSCist=#n+OY}NquIicOu9R+E4bvKi^lArb~zk|L} zPcMMebTc~3!~(AWUm_TUF9x;kLL|isc`bI;RGFbC zI%o1v7p-ku{h*!)+HgNudZd{)RA->8fy98DLjr41hy;SsaY%B(lzV@kW}9n` zX=%@K0o~s?#G6~cHjSQ!d-PA!(!eevGl;>4Y+u0q#jgq30wBcO^PuNR_#Ip=5(1Hd z)-Dy0ku>$fAT>m^DE#1Y(prIJ4I_W5oK}T*NlYx%hz~9>46Oo@9)?Hy_x5zS(#kiP zm7G!k!BIp*MM6bmzm9~CR+gMd+#uDxdQ)GYH|*S5_BwrzC=Y36)d{<1C|)*AN(J^x z8Z+9&*y4!!1B$UJ?7xF_fmIEtKdRAt?DaH)KIgDS+2rZzuL;e=e_`>!C56$iIHBAx zPTj)S)f(!~q;gU==$K^>obu{WwA|UI%%{=d4Be&7RX5yb^>Oc3921Wpil|qF1s8MN zMfgQ5X9nXNc`F1`S$w4*&3lEHGJ@KpVln|!aI`-i0q}#SErDH7ol}Y%0&0y8j~au4 zON2WI&Uic|q|GZ}rxp2xRq{prGiihkH-{{@UwF?e2z`29CN!!}DBZ|)U>422!PIL* z*op&(x*-&_UbK4WOoelOC%0N~BCoDGd`260PnYDZ@Z84?c0Z1Ex19KH%F((YwAZC--he%9uFMCG94fOSCxItMJi$7S}AhU zx$yxgSyLD|{kda)Sm7}BO|qx=C;gbql0=+N3hAAt6r1s&Q~_2%U}ojX;Od*ngT;`P zRD#?cl{TKcqZ5Oe9|y!)o1@UHYF<`g#|w!GK#hhw7e>Y}+MGj;U%8x9Eg)=)z~;-j z&19$3bKHNd^l%*JE>+aMEHR-1O;X=HtkH&UOCHq(6^PAz^%&crVx!WqMO@cs0Bg}L zi~2;xlpPNfo+M~#?m6yv@v&#JD?gq@4xgo>hQPYZvrBJC%#vJd_4sL1qIP$wH z;P_Ekn{iaHaD{}H z1-sE{$-z6Nxhx23s~^KHsa7-6!OUSkVCciBN~5HD$*QtAW4n%zxaRErh<5t4FAZFF z;JfP&Dpmbtij)MB5lI8fmOSvhcZhH(Im6X?g>f`4&lw!TG56~Zjg|bET!!srQ^*yr zuDtHN*fkyTk1@V`pzEMVtc8&p)FWp8JWUy1=_8UBK1B-#>3v z3bitTf?vO8nWEr3sP-3H$6EL`Jc@ zw|>VvQf)k`u_!DTc9K6D<3u$X(3d%=LDCy}I-_Ulpne^URczy3bGE6DbtE6s*a+E7 zQ&UcF|H?jY&pLsYSuI-x&DIR90A`25qTzaTvAUr%Ul5+!wvyA>K`rO{ZJRZ{NHH|| z+UZPed;#Xq#SMJE&WuKdL>Zf3iq*KvE*8AaH&fOupZ->?jf}RxGZ2&|c)dRXODX zz8K*xEfLG{(bw%bx9+_M3%lfubc?`WbCuH_1eHp-t}ZBonumm%87~<-NYz^LI57LF z@MfZSWiME190rWF#>t2PS^N#!xa!yVpEirDGXF*nAf9JO(sCl>l4rcwcBfQ^Axr(I z%FG17S^3NgtF-uw`K$c=kzqIFUF_LQ&_O`T{xGc4hq38hh%%>T&yoCHg4RfA=zt)a z*JS)i4!AIl<%QR8yB+hn>j7#f1djN&?oX5+iS7fx-_Q`)+cpkndY5@sloD*e6R(HF zklOV$zB;R6Hv2rw&wr*tt2oHiHX65O8CR_6EAE*fZ)JC z{(-Hs2&|(+K@z$_Vw6_)F|mW3EdnFKjEj#M>}OK`tzm84R>zBGEG*wAy z;52a+KX85;Az9Ewf!#>~M?mzcH>(CfF7X2PmE6Ra%m9`5kYt{u-n5U`|A;Jdd zvgrHU*PR;RZ>D` z8W(&kl4*6B@gUvU=mzYfttVZ5lP8x+y}FdnhLzX>$wi8lsS69+4&ArjH!m|Q>!FU+ z7!cMem*#Dxc5|KzVHPJ}UKy(#?IUd!`H&nfcRVBSZOxW*T(+yA>|2#^eK?AfUzgBq zNit1EB7eMn8z2TmwNli05l0A6tG16CV=9JHAQX=dR&vhU-+uu24f(yX@>HfY9)&g?3%))BFo?*P5e+NAJzY zxqxzvAnn0KLsseG1&UdWP#iCWl>+>fp-nm;`ocynPv+hm;gl@+`p=chTM&}gfGC?a znMoEsiZDktbXvX&kL$)PiiU_iDZ2@^^VckUftku6VP}Mc&T~5x+7Mv zh-Hvl;)FdZB!z)}@f2Of^BhjqvenY~;zgOicHp;p`alZ#76byujFJx*;U)X$P;H|9 zTa_cdrp-+9S0s{DBgO>sFu(C-hseimFH#7BjTjAy48WAqb-*lv4u#UKd7Zw1n8)g! z;^0C@!~=dkhOm3)!4tb=AP(qFS5_{iYuFp1&N?{1qXieFjC&A2`K9;PP>&7;~^!^dy{Z733%KgFqw7!Ct zM_&GO{jA|1gGpn5;%O2jWNxa}$1RTtnaUBrpECjWYT~JK-6ot{9la81o@lu;ie#K0 z!O#gBa9*AFq!5`hv&=iblC(9O&?<|C#;>>s#%IuYje-Zr*OJ4ZwT_f$ZBZC$-`4vj zLCsg-e$HoBh@5$c|HG;1fsJ!duq~fd?xH=$H~vT}HEpc8TFet~?p`jDT+0{sXfxx| z>MWQ-{A<)o9%9nUS@I| z0ZpAF_P{EDS?azp&p)wC-D&72tz;W9UU8&l7hzxYXiCjcM8-!pPVmSeUMvRCLSr$P zQ>%IsUIGSuz+-8?a>=V3VWd;kMYcFsb>P~wOw3Pq?cyLqX;v=ffPwUoPNRDCMHVkbB zR+OE!y^~>QJm-Q~qX?&&*O^n0P)hrC8nG^*We1zDRz${L>s>z8C4{)dYb zLCMm)_1i*u-h~JSHf6AyO^_mad4)IJFZS@+fDFZT_;OEwBMKIM&uX373N^<69AKbv zOa0%eR-p5LPTtSN0h4;E!yE@7VB+ei_E2?($Q8f#N-f;-jq`U{Ax@z7V*5ZR+>I5Yyh}V}k4O)CP6OM+S;D`Rp^7EaKFf#(>)!=$i0(L)wek&<^tl4x_@A|h?VjF`N1vvZ z;S2&kmr?mx4L(ss037_WAgG&m&awKn` ztOK8I3flQ*wn;m|S$5DlUm^{usg+xj3HVGGmcJVw0^kS-mV7@$cAruxK*#43*s-d;pPS0p+jSfRWqiT6din1*v?O(F<%Sv#X`D;o+&SU zis5@z9;1xp_lYsehWdGiV;NI3Upil zWv+9x;G;FssK$)7UAuSJF}DW>>BU~)GSAixpSTD14Tpx&|Fn$+tMi_Xe^z}n+I^82 z$anxDD&zPvhc2?f4r-n*LT*29u>HZhvy4KnM!$A?%kZ$p$whvkpS3N9%Uli%PC!$X z2OxNZ52z#`I(1UxZ=QG6Hv8m1j;9YQjS)$t-aGgwtz*EzJ+-2nl)itu_1gt$YhX_b z-*Z-u6c=jOwpjq-ZP?t0fXgQFHs6Yqv;Xs#`X>>&YyPxLHi@h>fH?7PS`SFg{tx0@ zjYhy2FZ;(V8R9%X)?sDm=A5GMmYapQ8$d$ujxpEdyeV^Nyo%YgvB}y^Z75*szB#6b zhHa5)&+#$lifo#Hz$Yc_lvIazeP}Dahh4z}t$@N1%g>!Mv-(&q#cy*z^Md{_CNl!l zI@4sXpQ#hosgpabM}%kiJ}O<_j|jB5kx)v3ZzCc>`R8Dt z)_x51Cn0h$p4Q({T??r>z!h>naZk|j8PB`lz_fql@Bb6rb;BRQ{p?|;O2su|P5}^b z-Q6`5DRr^DaQbcH#CU7)f%q6kN%CXf)tSqsbUqW*vxVF)ql>%k=qw*r6%XnXd<`#o zUT@>8Gw-(9{C}x!VB-0CPC)X%Qrm;D(woRi^*`yyp0uF%pY-Ec-%=UOA) z*NVA&gH6@?qqQ6VX)W;c2;7P~G%w6yS5!weW4(mkP!OUxG6Q z125OPW*zB3vPmT=7U@L35o}x@)YX5)I7bkj5U>Nl075SSJ%Id3TVxxe#ig2vh|&QU z&As$E0nzC2?yS^g1QR&)H#f6-NC0Ox?6f*-kCQZ*2DE9kBz7Ej#=m8mLRuM?aKrG3 zb1_tB&-8l}Y%*uh#M?@?X;{xhzauNJdd0+j%GU>*xWD76nX=n5I^EYc&K^_@4J8R7 zp)#a17C;DqUo=NiE_ezIHA_nML2NR;Ungg&IWd&FR0cTa)?Je~ggz`L%EkSM+l;#r{eY*vdHI!II>qK1-@-XkfZR)QT?WBnHHwWZ}%!<(}HQ zCcFm4Qd8@{YBsQrWIdXwgL$cAff1yBTPZ5UmTX=CY9Vl}-I*gtwdbAX;64;>>@iVf zQ)?V_(_X3RSedD{#@f>%l;P605s(q`WF@mB_uP8znY${&AV+C|wwAPd!2Krf4 z*Jd7oKYZT}{JGF?9W)_i{7q|FhYopYb z7%+kT@g~J(nkklrO;KdBr!X!M1wA7Z1cVT$M#QlK1I%aG{aCd9B2WTei}BOgKjV(p zU=QKZ^h+t3EAV%E8li*6r#AayMgAVm^5mufozjl^!bC=Np|UqrT|PJtxN!E3)C2~YU?cb*};p7i4N~tB_^8;BhBTo%ZuuoK* zUGrnqbI~~H6ED%`yy<1L#I}}9m-dG9iv?h;igapdwvL)7Q%h~LoF{gpo>}^#x6NOG znAHs%Ry#tIgMCmJ_p6#a>tMI$HmwmFxm?n`P1F9Qyp4(gO!P~`B9U)FCO)aeV1>xg zevV%=4k^Z~HQP{yzHlW)+PRd$QAtal%AOtY|Int{$zfx71v{(zi~s34;wgBO=8D%b zo{<3N)xQO8o|0KR(pg!Kh>&OO|FFFS+)XFr+Ddld3)DXBv8UcyG_IPPY8IwwGjau* z<}U^>dK07WSm3RL^4+Un1Jm^fET>Ax25Vbga2d*?rhZdwTA-}wWT=`45;Bz8U=DNh z%c5>zErbTILUGJ~-$KZvZ5tMVdf1mytb;&}_r;qs}T{ zmz-+b2M~8u#1%?;eSWqR!JNTW-+Q#1t6(r)r&`-n+!(p=^K<<;1b0sld#{--$AuUb zz59e=-~ut38hVN$oee-;wsKQ|I9jN?YJrEmeg`Ailmb+I4zo zSTH96A{Fxp?9mVw%{~s__D?<^PwYg2aGS%?q;dIS94(4pPmW-lO9a0y3=an5AM?J( z=yJs!474?VqChK9q08V8#5AIg;Ss1a&qksod5F~|hAD4`KXkCcKz65<-Mx-j0h zDDY;=C#4-v99ocV5il_R&@d#i2B;IFgx?2!urPHUicvusYe_OJ;`!ZX!Hid|CE-0i z<-4y~7oZQmog-GCs${w+rA{T+M!gdh`cs|>=NMb4C1OTjwcxC_6^%=EmqEyWE>J=M zrjBZ3>Bv)-qKx#Zh5{?@mnN>if7sdf&GG1O>Q4~pEQC}Futnt-PkqMDq8m@qvkwoG z^5G$9dG3Ax`J++(qJ#O(`Hk3WO|l}CG|Bx-L;)NAg)Y)(vQA9tSHEj$FfnblQhlau zB&m6#R9JZ}V|tX)T7jh8CM6OBee7xfevssi@0+nrEb%+S!vrYLfA1M z+#fa|!9;Xvi1blD*j0HC1d`gW+;+AC^XL3;I%WSc#NwC8OuS4xa4wAp&> zDdTTZVp~o_q#tN&`MU{sHmUfx@_pzkKBr!^QHya-r_1R=5ap>2;KT!J0lw_ZulSRw z&fSpg^mGkCCp|?T$Z>IbU{Gi3nlUFpnl6QIMrJ^UXqj$4*nx(8W=9@R&vMg9bg^mK zO5iIWx$d~v4ub-J@ZM)oh;gSD#HtlH+F*H{D%p?#odls77|d1=vm>Z zR;x6f?%Vpp=;_V$%1zXR(Hy?lF9r->MVjgJ6<7`a0I2)l9+0I%=J7`nkJ6>rgE;6V zW;T0KLDFcO?f6C?qvRXI+IyQ)@IB(;N83UOT*76zBy3^SC0=~_fj8b~#+)v#VNdz_ zDWmCaE<UrMgPQ%ZXxHK6C#I7ROgF9C+X)9j&@DT zbP^+sht>Y-nm5*^c4!Y6eC~PvEAr`dW3Y@s&>Q7KDcqCKD~Bx{dl_KBRHJQPdR!r7 z|5s-OfX+(eL_emf2;j5Rvr!Y#Zt!uYRxMmBbFb1bZ)!Fp?fqR?SdWKu_3yVQt!BKy zJVBAh=n(l)hT%?^Bh4#7oGVU4JZmpBrD9OjF~IphH0cSi;m5#1>Q0N4y-A z40a98e)CU~+iS`s82(Z}_@|%*m4J2~wfLk~i^aa5WF~Fq6fo;g=pG=Dp4l}OXY9ZI zX#)>7exhJTM6Z$g??9q1KgLN5eN{;IUzaGKR~mg1A}IAqA#uRypLvU(b7Y@3f{975 z{nnu9!QqTPCT~W;ZzL7hvzq}ajz3UnhwdA;Iz!Xsua(U3;yJqKn_q4{mqafcRi$p3 zE+Aj_swcFxR)Av-eqv=awg>wQFfT=Ky}-aSDe~5U7P9ejVD`@~i=@f@XyV0>M0mvz zRA^Q_z7U%X?2S25u|zyt*q8*z41T=Eqk;Q8L?{TQ2JpR%74<{~X_&6^5MFc7hGBHM zB{2?bEa)~k;P5c+_IOV>*wJxLgW0Q8`8`$W6y{z^0AOi^^*2^5WU`XO2)-?~V-Np| zynna=W&IC2qh&DC93o>Xa~c$4q&L~+I|BW?A^zilsO6&kbFow*wX~MMYtim>X{(0d zFKu>C_IEd~vM?UGPiZmOd}F4EQ_sL@PIwrt)7^pZ`6}quU{0>4=!jU7d(A$1@7L!U zK?c;NAVAALBoC5ul~8dx3{J{*T8HZGWk3iP2o^j6SiD|D$Gnw%jA{6zg~Je$ z`gLXb6oV$LN6Zrs&-!Zus+Rg8EwHZL;`F}yqg=etM*|6yn>N&2pNE`8d}p-j_)A-5 z9eL^+9_z1nH9RWuJK{zFnI03p1&Xzs`ucG`z(;uBWV2<~bz+h~rfB)67&)L__t#0# zAwYNF&t3XM+!G$Z6xKwCEKFJ=6rv+74J-Gb1Os6w7=561E0=#&cSVQH?H^?kC6}xY z7}MhShets21SXck?l>sDz!C53aqtqY*V?-#w``Yv1nZ|YBnGgkD3Xekc3Dvghcs|@ zVWb1Vk-FD8!7D(!^qfKUn=|e7!)%bg-+(!S!&VSX#b%-XoMtLpT#q(~?kO)WFH|B5 z3pEOSJ9r5Es0JNWxmzX4pLf}kQOi>?}#Qm*qT^SZ|YwG+#r_he!k^fFK!V`TLJ4c zcrL!B(7n7pqMI+FlrRBgzZmQ>dh~mm!NzHvhjmWg7j*T$VSL-MYt4{HOvABBm%59d zH{M*%!;LWgXwR$@^`8k|(V1%Xzm78xLfXOgeQ=Q#$2zq59#yCzb) ziGdz2KtONa2>f&Zq#_A2qoq>&Fs}fDdnz-dBE{Lv?@Yf8AKdugxEnZ~OAN6BcKX2s zurM9j-#!Lek+;5k83Ry4hMKXHv1$nJ%&j(JzA)3385?QxP_Ti+LFSQvDyP6c{yb}v z-(2RQ-w@2R>}N5`N+&rC@j7?V-c{Mz!m!qN-jYnoPRx7+}{6W!1a z?`(hyIK@dLIuL=@#tRoBY3srw)!AHQLeJl{9)i?F@dJXd%dSy6@MJPJ2$|fgkq~HZcqB*Y-}3dj>JvsrN*I#fTBl>U230F< z=JAXvLa{ z(#tGq`Yt0G5~8ms8-7a8Ag7e;q9{jdh}OYFXx9J zDa|QIA%+krPuJJ07<&QLe4X0z=jA@^ykNXej$PGnsJ2Xt7^1{d_3JF_LCotQ%`}2- z#2$b+XtU>MY&EP+(hk0976JvOwu|{cHK~FS(}H7sNxVAdDW@R0!vLy1TlpC#n-)2I zE*m!Of#Y2HRG+bZ5~xi@j`pEkaZD;~C zbmXy34PT067n*mc-1t;nrAliMyy&uf+D+ZRcCJtz5H~Uq8le7Faxr54k)&c$rhQ7u zFp%0~#q9ek7Sb8ne4n|He-vOik<%Zp*(%nu7!K=BA!%0EbGYQTRy|rfZjo*O5JP*8 zv|RU3zL`(Q_hFO5+2oeR9>|JX)BzN%a#a6^lg{Y&^zF38ZRBtz%^s zOBYWyxxj~})M34>N2N z5+|(;kBJFbXpXu!F)+;VU4|kr`!^90w=hm;R(Z2w2t8|z3muH?YEx>5W!2vzzu=D- zxcb{P{9D*mvUmc6Qi$7fbF}s7Awl&ywULchV||!M{_+8&$h@?ilQZ(6T8R+5Vi%;-aTA5|#l7|C$R(%wCmxD^He# zVp)kw2v5}uge)|yaR27HpCDG!wQFM*s@7zR&;Eq_-#17poPhuMFDFh$sK`Z}ygnw& zim1(%zk(;+LkqWpCo~MLFV3AoFvQ6PR|D-x=@@{(A1K`yr2NNqK`W9Lk*MWI6_0loZmd%5VErb44jzinrS6(k>p z-_yZsv`d2(p=53n2PzONl&YjIM)OSI0dA1YIu?DY%)l}U6|KTU=a{Rr#ODD)9ZO7V zAqtnye{RjyZ-TO<%EN#3>GBcT`H#uD9U?bidjQgDO5^QS^?4*;adPOyY33&}15(N( zmp|RgY&KzcT?2y=1NOdlIa;L0;P8Pc+9#sB)Bf~z3E~+f$QkP4RO-@k%kebP85r~o z`;VRvW94f!mE_6Z+X1--j#h>lI}lN}qzk891*SK6*9+aDucWAswiIx@E6|C!W(1RZ z2Zex~3KjHY#@v20Q>RJ?F(Uw8$a&^P&e|ea$|?TxB?e{Q&JdG2$;QJ#i$8T=2LciJ zTZlEBu(m29LeW2kY}#XJ>R4%dv%Kzm-JKgW=Yvx^$Z53eTjZ2`HpgA`%+-)wig%N$ zNR*CtNLMbpn0a;i0Sjo>mU5_oo_jh@8|coSPR#amd2cliL+5srQb7Y``o4c3kc1&z zTVX$P%quKklbbImPNRUn^kwwE*2RbM*>+`Y`1EOm-CwgtSM@BjKUO50wex?JtSuho zLZdV9cG;xV{_I@qdQBRufm-<|Fn=KL!{8qnJRUjbtfSP(z{c=P7-C&}g|0#+C4~T~ zy9!j6-GoWRmx;1gyJkS*KX=~)>=cL%5{^1|>79T-IrGv)vo~-v!v4SJSH(cAYfecJ zRPz%YY+$iucStN)3&2L!HvI55Nfyk}&~4!S`v210vmO6=E%9 zPG)ahLt8UUN}y0{v!C!0(V%U+*e6*-y6j{jx>^RX(%($4{_W!GfrG=rMPL_~qM4a# zaF&Twp5YCV@5nnL)p_9nqVi7?Pvu=Cs@;uX)=?IIq5VIRi)#xE>am^0TNWT1C4bucV zmAf-4Ux6CvwP8=ZQO|dmF39iC#{IBXM(^r$Opt)q`-|)aSTrPwgTsF;p0|39?yi2f zN1v;NQMCd-|2jQBIRL93|7`pF|9atXP5knBsmy0-$m|2e@W+Wj!JjIMZY2hG&Zz{!Q1aR(upSnYSrV(KmB@(_?i>+&&zi7lk0{-fA|!n7s7S-M z^R}{x`ZYo|T@I1uw?vQYJaW1RedrJDMj8pe4td zo`27(Ykpvos|soQhEb0k!&DKYbI?#b00GZ6xQe-Z!lOb{)@=%XOWpfSPPAXj^MM*~ z1Vf2{!C98D*D+j&TR*G{93g2@s`rq;ZB zD_MY!#czsm!uw@a3pdPP#beZpoE_}`bw%O(XT{0+T6WpY^!i~5MSc$#l|1vDhkS-R zaF+V~OC|P;M`L2n^G8md8&2azHL2#@QF7U@i}p6Z#Y*s_Iq1!99b>+^Ni2z#v^c-E zb%6yG6PwZ8#snziVilKB9cL2co8zao$oG8bWWbB#-F&Sy$Q^#qwoVQ*WAzmp?%4LDo0yjI~8=G&pb(-L5>N5 zn8r{j3E;H6bEE5((s`%eQEOIPH`wK}qvZ#*RBXY^Cqm53$?0!!*bI&Gs&mOj%wb|i zw9*?6*&g9i9%~fQ_M3v%78aHDS~U8hpvQY8D=v0H7GjcJ268teFD+X})_Lfu@?;vv zM$m2;Wnx!bu#5|))QUE(#R={euE9YvcE!+x_nd_-@dp_nb{3tk1g1iZ%DvJKS;7&|?{4)wCM%v~2<&AjM`%KbJQ0dgH*`D_Zc&-tapAd1j>c+y0}Z zlDHfgeGW27PompG53g*eurxB#u@_as@Wjl8@!G$%&^oNXmw6sbIWP48yCHk<2D&`9 zca}ED|CAYDet3fLK!Y(BgfZ@Lf{T-Wwbim0#PQJ_@vHwJ8atFPTdTy>^UabPx8|J2 z^LOcv`vZT*lrGPV!o8&KPA*@a%(uhf)#Wl3)uPPJH;^T3irIf&eniC2AVI+OaaU9F zV_5lV`K5g{WPNb;_GIN7P8$2HjIi+1ZOHTcGBrUB30OchfEaj}<*r#ZpZ9ak+L3oF z{31%5MW4B2LjcbSNcaB__i018{P52|7j77;u_<~!QoJY4_@t6|{jO3^zVt`3V;URL#!1Ca#WpIg*tTuk)>E-<+qP}nwr#I`^WFRBIDtN{j=Qyf zmSu-l_-8;>w3d~554Qv8djS|8)G2!;DlQfe1T7d;`V=K{(2?IEmt3GkUoCAwY7fRt z%umJU_^H?hOS~!e*LuF8ASe_NbbWd$8lSsC1(eb)RIzXcX@Xr#39*Cip-<*l|Gofc zCpxfX_8tHTLR?Cr2NqgeaKQ=Mg2>$`979yLD}Y=ULh{%t2c1OPwvD-b3`-|1zUxG3 zL^@;i{A0D(!#_|{E_g9imT=Hkid=Th?wr?UbWL_R^N&OkPk^Y1^l}jBi*TowDOi%{ z>yqs{?oA%+$3}zPzg93LZRg)0L}cmLj1s;C>v*N3E*ql*BLOUdB5^52ht{YVh!d1> zk^m?ZVLdWjM?M!uUu1O%ivhB2hXhm&pxSCqz z`|6g;K(>$Qko9o$?+#-2RTuP@qi>zU4**WFu=t!@MSA5+>W!lOX5cT%uq$a_{c82A z?v&n)B;_pVq9k|H-=V798tw$bn%uKDi<^c=oSams?n~k>qH*x@gPXL?Q|*1*C<=2R zaVVm#DtYDVt}AOj7dpS$=k~Mw?1D4#Tf4qiW*Q7vqBIP17s*yy8VTVCGalr%0sy2N z*{tmDOQ!$gxysLwKN7L2)K`4Tz<3et<3a)t2XPa7QXJ(D=9vOvUn=MWA1Y>RQw&$1k$~~2;_&$JVeKc zbsP~+hK;vv3$>4Lb^JOtAJoG7osB&$4EaT@0G&NGmnGJXS0li!ZhSeKxC;pH8msYl z0e#ez^e{<%GoZQ6=BhpP1;KNaNP`s{yS74bKiULO8UBM#y)0sbfLvU8UMG*eB-5@JiZjK7Kp@8Az%D`V9-4Aa$W3^5{KKAb;zTB5zu z`v9F*_f$CR8;ZVIFL`1ZsGee-PTQ#_V)O2(AD4H!I*RNUXLnCSstzbFA&_@!fJSf2 z8od*2%{-JJug4oUxb~IETnsNvWXG~=)rQ6N_|Ql* za3c-mYt_Qw&i3I~PY7Uv%GUK3K-}8$hQapABVlH}7676%DyPNI#r$~)8nO5JMQkoT z8Xgw1r`(%rUTSK~Mu5x(GlnEubP}!Z(Mk;y=Z$IB8ViL|Y(I+S15RnEo;>dPfDKn( zMC4_r(G^)$p64567jFzsQd`>=&{yG3nx3-Rq-Klpr10XN^%oH7-KY)Lp2JqD?9-mR z&04TBfi<8zc#cc?_i|b87`yv;G0tieX1}mOoFoqc8s{)tdY!A-3TDm$=C!Ga0U#NM z#2Jm70PONqdXp0h=2>PPk4zY3Zd+UBb)w(Oqx9Aj_AC7=>qm{zjAO;%0+E-L z51{_ug^Aw!!_Ckm`@bJ0o>!6m;|fA-g{*JoAmVLAbiUOR@-6*S(`VAfZIe0!(NOgB z?ZDRFh%)oPzaur~|K9_z#r&UwaPN^SIW`*83mb3}In;U04dS663B?U-WT<(6+&ONm zmM-uP2}iu?+@QQ=S%sMdIxW|J^10aWAl=f&x;blgu$FSuh}jlS1E~Qeg5Ed?(rtk9 zZl3@?8z;@rfbv`&??$(U{tH{K9)K}0uGHlAw5|?i`2ElZG zh>3#cRADrZMsK!ctfaomvL9WPoqQ4(MUl_rQWZQQ+3(c8{#Qq0iVz+QHH`>8Q z)=*z90i}8p9Ux2}+3^#w(VkQ2lXa=}Pgt=3= zAd#BrXaAoN7N9d!G%8I|t3V?5P&9#Xq(hPLWU90GiYa;U6YsIDjmWDmp_mcwI2e;l z&L0J4`^PAliEJd;si5UNKpr3=L(ToGPX$x(&l5`pczlvdr^m?3mkD^Rhq($JDVd6^iUf`{CyT)QIeP&o16UBJDLZKSiuMFwf7gt8ZuSz%XkYsWB2K4a@;oyqm z7JrVKO#o&6mTDgMY*%Q)%?cIM=eQ`SrI)cZy>~@; zp*C8*3IVheC!neT$u{4Obc^eD2RfvgCTSXT9RM3(x(#NBk>bJ|)@(`!)9Uf-+53}4 zrh`IzK)fj#RcsFB)I_UVWaClzYoeKDEa}};JR7^CYv*o5lSr}7DzY024fxYLHM*lz za5mhCPRG6XjZ>~>&k^+xvF;|KRoy;UH)hLYn8uCuid@1miT0_>d4^8my6}vLIPwNV z006!xrrelc<;@@cv0q4;sHdK#u5B()qe)}ZFUGmhSC)h(o(LD4*SOE3PXv8pRmZWI z&@fzLZq2+KC{lB3XpITopI~?f8*`lfzLUNJZ7hM*wL;HNF(;h=X~wT0WEaKxfJrfN z{%MSPkmBzW%B=hEF-NRhIFHFc;|(_wtbqHvIH<$dRhM!a_2CiL%{X_%&7{sU6cnTO z!&41lKWZ10kut~#Is+e_>LxaTm{XWk{8t8Np?sRVYR{;tu`;~Bn8T#9+=8v|iJ)q} zcaOrl>x5c^BrLTNSuvd`Ri=#kQo}$c)>6OjS%Vfu#|Oh*ry|wr?~jSq0s%yr1b~IK zC_Fdn*H`XiI~%v>vwcAs#WUJ)HjngF)Hfo&D~zPqzkL7zVjwf{ zVk`X*E0l8b!i<}j^Ua)tmX*jyfIFsQ9Nu3oo=kpd&!Sv@a0KW5zg&ryS2Lxo8K=MM*8LJEAHJ(I||V?3FG769TG#onuW z=4hhe&%f^y@TLzmo_+&fYC3XgcIXgm!+vw+mk(=%>2&W9+rc+5o$|tD0J?dxZ7Qop zZPB3vW|0n&w>0INk9F;iiHbyoMmm56>s)s3@@;fPdl#;rBSU0k!EQ$10NV^emOW&t zn&s|(JG^aJfUGZiESLKR1`9D6==kqrdQ1L6Co%pXDwH~zCxtN{;0uYv-hs+I@HhU? z{d0c(>&ZD3!%>beZ1TN6C`Ow|3#PW0ugJjBHa4PKm#J3x_u6mPrxhlKDN#UV4l?W# zcmr2Icoz&3#!QpfKW%OMjGZtEbcS4 z6_aUwnqTVBEcMWVZ&?U_AkKj*ep(XQ1pDzv1o;uktZYvuAm_U;R#mlZ@4z9wFJPBo z@_<+o^^3*~(A-0{F#e-MFz7Jbp zQfkH&2c2%kAWX8#On4)$c&b2IuV&g#qT#hU_yA@DZdUzfG4n$q6oFp)%dg-hx1%KQ zPGQ*6TissWye9j#kBBj%zY3J7h11=z3p78cx^`p_kXQ#%<2HjrUMT~QbP&PXs)tw; z^?uL{jt&URVp;X3BZd*rSM<74#we0ac7g(?{$mrsEzz~@zh8#1@6?|EuAse)3i|l3 zpl2uX4qlHkOF1<4tqONucCy5!j!|0 zt13c#wQ1T-!S}29{V|){M~`Wc`D_x7GE7ex@W%cxjjOn#K+8J@wUynz;7OT&ouGqg zHZSCx^jT$ugv6TKP-b|i3B%rA0%48Zp#^>}fy7^rJK?z+N}Hk!3wQ^Q`^-tt1_l`; zJOgYt8hjF+tL4FFaD^z$JTnDF=uCgBn{}eSKTAX&3uGAq@X`6#4VJ%qVX+7rI}@%3 zFvjPMCtlwyn?m$e8y7Sl-fYkH#@!(?5n(m#ph&2{@vp{XL4&~OgNNAHk zjMm&PVs5-wcd4wTNy?6>9O+ZmC)f(Trs+&i#bLZQJHhSI{ZivUZ00e{eYcSW+}DJs z;HE5&%3-2EwCs>2;)dRX=aY8jlXQGITFO;Z=GDfyn+|Oy`@DZ>D0}63&(7W;=)*M}H{5t| zLu@-*N@lMqX`^EelGM(Os#AHGO)nPr!!qwAJF~8727dmYU`ed}tqv*du9~A2h|1g| zwP&EsfH?2m4@0s9>Ixj(Mb&2y&{!xmw}<-TeH0ly)u`IWoUQ%T@)NGlFvFS{jXNNL z3(X=$XjJ30WRt-JSyWhTj3vo2wpvH?$K8N)G(S3mkOF*fZ4aC~3PUz&Po>5xYblkS z1oqv}j>R`Bj#jM~6$V!5u$Ru9eFJg%>S^okxetnpj$9DAsHc?kqtnTQq}Lw{QE3w zz55^j#&qysP``I^4^lj>y55{g}v1)E@gA`6+p1B-Z#&b7$j(Pkyrc8UP>@m!U&{v4-iM!ur_icjZl;mwxv%{ z7*1fwoGHXS{sEp@laBTmvCGID@y}McyfH%x3kjHe(k3qCmz4?){DBFfv|~3kC|3QR zxI{5?OHqC0HGv$Z2s%0Z#lg6;h#vb)uKFAyeKqz7vEOqsBKJ<@@hz7)vHf(C(nbYS zS{Y&XB3@s;CE$^5glcaiuv8#eB@iJbKSc&}Kt5G(62?MN6eGD(37-t6>a31O8ilS& z-atDN3QIQa;B%|MmEViBpaAo}JAl9G;MEVo3Z#jq{86Y2gQ;+jCbVD=^Rvh*wuJpw zYD#R*pWX9ojh1@3W~a2*UykIjL5gftjyl6{BguKPFu=jGbI+PXsi7E{N3)bhj5!Qh zqD{1c%dntOA{&QMFqyBP#Yj)3$d#@nRye2bM?hdYzgk!hbbJIA!m8X9IL%I?@!wxm zr6|}@UO(nIe)t8aSDD{qEEb{rJ6!Z6at8DZ!9`9zOfd)84tcO)w*1@}m2*b1Z}Qgm z5sAtnKMFfzqvcWycVm%b=P-_J3rC`?0yVtVM@rlU3v?>beyIRjtPIfm;SF&eu|Mo7 zpM*+^d&l%9vZ|c(M{4<*J~0iJOIGc z?zFuP+GN1ZJih58X1U(#5hS`$84#(ZOC8*we*mt%Y_?UW%nx{1%hYq~nDC%?Zq4S{ z(~s-5_g^1AF6=zrZtOhYb`0*1SN(^p*L~x`=yg)p#rF4+x~2YmU*pomS>8DOdZh#- zCtCz1{|Yb?E64K(r_ku{1uq+vJ3{`dy2i9GRxcZwlC}1eH6KPCIk5VC>p#WV1=fFwF5Bfh zaU&ts5lttei=Cno=O|s$l%nw}qNwD1xdCz>rdp0NI)}PAwE{e?Q)`*G8}(#tQMl$P7ebyIFYr6*U4R)_}P zM^La+=TbEr(kHUupH4BvCS|toxsIb<@jj6#O_I=vLeDzEc3cDk$PwPzG9?`RB7o$R zD^I}&4Bs-WA8d1yt}vlB{V2k8O1De?-A1DEwYy6QXl7G$k2aYz;f}Q$bGtdD2ovl6 zNhw^Yd&^XkF(1IF`FCrFrj^=ABPo}=$AhkC&uHjD(F?_U19IaFrDpX2@AL2|Hgkl``4tOl5bSlRGyJY`&R8mk7uwg4IBnt6(y94NEhfedHhQB ziID@%9y@pEGBRhXkPqT@ji**Bx5v8i5q>w7iT>&276Q&kx=tmiNiK)mH~^QIyQOr! z;n&T)uuP>gnp-N}64He!?~&K715g)_v_BdQ{2BMQs(g@ma!0z{rCJGmW;X9>14D4R zt^h)l?)@!{ZrLY&N6{OvaWIEOV1ES)Y34?z^9J~`pgUp#)7H7w>wNI}6Q-mBhyH2W z7u#zxvlh41>EEt5WM0_?aX^k+nvk%l&pRAhq3&``_QaW-0P|Jb&4)fI;^m&14vXQ3 z(l0|c={@(F7ldG)WXyU##6*NbN=vhS40uchv`Q{Z9Cr)AA9xzAVK zj!<&Kjfpn9?tK@B`kRZQpk~4I16urZbFqWw0gVr;ujcA{dD+IY;r*NT<_;BM*> z8Q%NH_KrB4!DX3?)5Tw)XZ+Ps|9xO*g^bGpwm#p^3@luesh9J`?R;0&d|P7ZAtWLB zlFJ=762i1fk?d|{X2{p=5Ix?gnNG|`0WG1M%v{<0Z;=6Z5mwv>V$?l05+%-&&)?xt zBnf)G0_Qsz$T8}%<;=DRIIu7w%_U)pomAB9Ccdu8=+c)YqmfBsDI$@Jm-`+|;ZQJu zGvXS&@RaLE0xMcR))R9M9JKdp!Jx5UIxR<6IBn2G$@EFFf#$lC{TS{T4R;0JxCah z^1%Ci^4fSE9HvsK1!M=fnsVs(Od|;u_q;hybMWLkNvBVgI)m7)m2-EE(NwCk$J3`K zV6VBdURdnyo*~srNp|{O@?CJU#j-O^9tO4??|2QenIcB~;f1jAf-+-gDD)cuz0|0e zPo~n}Nr@wg`2@wMfRyXHdy0h#W4H;Z-;{Uvm0ryybmk6rbv{|@_rtO`953E#f84Gr zniCL4wWj8j{&IJ{Z1FO`QCz^x1Ld$9+Aq z>Rqo3pnesbyzDcY?~}lM+?b^a18jHs`(q%TJF?LnLaE?#{z9KLwB^hI)&3M5l{-9j zf{vA2rM7Y{&f%32_bt%YH<* z58!{7`f$U^V4v9b-uzSn;|q-+2P+62keg>xD#m1$c1Z_MM5AYYI?|h!gDEK0W)92J zQftI``2y`b!)=!6!c&#LGk0xS%}Qkzy1H5s)A&sgZOG$ThVPVTv_hPI-esV-=-2-_p|gkD=YcQk(Uu#DIK@!y!^yuPf?# zTUljSN%oG#I$S0IxL;lWk_x@7(wv{d<~ds8+-_q+CXqJ?>$HRXNj%hcMfsQX{kk^c zi9ExW&3^k9gTECj4M!;w9yr^-GD}++>>Xck`b$sm8$~BJRX*Vs-NBi7Ycp&*80K~X z;D-^L%7eMi4yad=5`E|2z%uZ-Zn}7%&EXc$SWH}soel4R9AmM0wy&2_oe@Y#pLIcX zH22CfA@RR9>Ko6RGmT15d6e3+Yd*$UHk)G53zwOCE;CG=t7kVY-kSv(*1QW-dhu$O ze|rxSPH~*A^BP#TO&S%aDcJKnnItEsa!hJt(3+;%#s#Oq^A%;ajtbZL3Ttssz=NZ{ zJduY#wcM5g%i$s{i5*=$d8fu04$A0>Yo14j98sHuzm^K*Ah;iAN%hL>0CyWr2c@wy zGZhHYJp}EtOgTE)dcEobIdh30gJeCcJvbPyDy;s=b3R}p1Z_WBr`ejz>U`I(BnUo8oU&r_ZpG~{a$vb$%%TM6L+lug*d6<7IRUO5xk;Bm;KJJ*?bq+) z`akpkRcO76pB95KjDb2izk)Fa039E6wj~6sTVuGzfTSRqE zE)shn3B6s30|khC{t1N8+ds$Fz(#|OKvJ}kXbp>`{PF^Uqm&4__#|nIQycNa7_r|0 z27~@5o{0&A3og{i`{!ZDxo2_yBrlQ)(U&_$a%b0&zlj^vDAJaA`F6rwX_iWT?&?jq zZg9yzF8+|}50&xbI)YC+Kod5}h@GdyB1QQxmtKC<4Y9bbkQJ)IM5)CJ8!8y0ftRy) z#|OG0cwm)h8#41de$9fAH~QwhRT{>0i46#+hOd%qC(Us=MHk8$8Ju85)A?;=LaNAS zSAL1+%>LPt&^9c#37vWWuL>wlYA81d6!jpwbqxH*QG)})2lVX(K*mPGR9wWJeM0E= zVi-c5nK%-@Phpxip$pK=F2i~eWwC0q!MsH*l!{3XVHdAgLD|_d6o^Sxc*t=%#G8nO zx&Tge8Oij3iDj*~mfVdr;em79Ag9%=TWt8OV1riSgWFcYvKzFbgTJzpl2^hs7|=&? zNFBTKwir5Fka8RuKv`h?K2i#6yhhu|(+3~7@~kWvFXWQ=!hBJV_QavwV9F6h%rt!& z3zViee&8b&5%800u*z0-@mp;Fqf4fG{ndr2bILqLhZjjRHnH^iJ>xqg^lRG2m%y|M zX4->>*;VL5@ZiF%)A<582ekbcmw9dH_Zw$;`=*8)Iqg*`018Q$mFK=Lqk{mdJ@-2k z3dTtUrY%y*eb`e0%B+x&Tm~gA)IQC~^=@9QYq~yS2o1F*_#LU3cej8XZV`q1?8E~~ zlMwnsx~p@H$)jvAnnb#A;4J#Xnmb+Ga?0(Os@VXQ7UlD3L_xuEv3M zFB1x6te#9nZAMrP5-TTXH67t96{aVYA>G(Ke$X_SNh(*V0<+ZmwbgDEn1v9m0n`nR zMr(PBU0)E>A2~NDYDhJW3jdrWpgq5=*ycUU@5J#55Obs_YFQO-{?oE%MIV(@tX>p- z$)Un95m5y`qzJVj{Gf}LKv4aA4Nl~c6IpOpv*|&lgWPHo`Z#wB=lwMvx#l1`MEoz5}5`;6ZC&+F+ z^ofhx6uf!ieep`A3hzK)rfj=sbLghJvs_E3wu%X=}kjZ|8hZCqChW|g@C~5j= zvh9k5{#SfpEK1;#Oh;p_7H@#3iMG^NnjY!zE9jE|m4A6aD0DgJLL&(JL3#)4zNk0U zPj(6iEaTW|AclBZbmIoZqU&)}R<>{cXwroyduZ9YH4#u%k1i0;J|O_FJ+&V0JL2aY zFkmQ5w@Orq$e4fVL_${Ah`+2}^;&_HX32M3;fb_%OlM~Dghtq<^8SR*@TS~O^%7wV zv&uzy3H8v=b@S+ou@GU5G$cD1KblPOO6@oso#2qw84S{vm;nXf)WKkE$1+D?%JdKQ z1Xxf0;*UL+B$I(HizWix8^4i{wQE<9eQZ6!F3D`(C@`;k_u$MS|>aa1k2$~#3AM9*2uVv`SR6j|M69F{AZA8AkU z{i1#~RHxVDCRxo3(Wdk$Lk{Oz3qeAQ6-%S|gB2+tMl z0TvNx#*s6p7_NZkq1@=&wvD{oA*+I-Ng~0yq5-T+byOZSlxjX2EwU)jxNtUjaWQ8e z*QgbrBy2Q#+&2?&U|U$LF%No~FD|~XVc(Lgj8}sD{CR%Z| zj$wG&EA-mHK&-{sAf0?+!Yend)8~;=oGYzmX^LeSeo7vr`&h zA)~fM#lAZ0sLyXy2!o|jmQ>WdU-G{r5BFk{KRKLbCOikKC-srx-|5We8j z{Yj7{l|uoT@om(4aHI23<0ha=xUF&2s0gL=w?v76ZlTL3d55v;o;ec5=B;G1Z~LpJ z6SNDfnx9iE`&iJh%tns)dS6F6bditK2UkT46%mwIs12;|u~LATDW*aAN9=YrA}0^V5GCHeR3Whwv&oV|`PJ6({x@{jLjr6mpcD|IDi z9)tN_NaV|VN+M~0jg_e4wbTQmtnw zXg`|^&AF{8kFWF$Bn2C!zCgw6P>hEp9%jNwT;bMS3_i}n6MqzFa^NQNIZIx;Q9MW| zW;+AI?yh=eYF{9oxMduLJAkN6FFBRrG;OL&0Z~FB_j)$ zquskVI^hKxa%v#hcwUoP znl+lSTJ$%c{{5J7xP-7#7&ev~Ok@+>NOb@jmyUI}kV&;ofTD^(Aqc#v!uDJj3-v^XPWF zUQC69>FcUOcBkYrTtmO;a2Sr|3o{xpg4vmUW3V3 zb9CVlUJge`N4aw?z2sd#5clws)nAwdQU z6SXMF?O6NG_sZbKEIVi0BGZ-;R|X`{dMSK%ya&VxoU<`FpCMNGI5wF5jA&m5>}PUw z#`sG2vFOsxZiINRT#uE^lU@2qRIT$viw#aZfJ2Bm(DTS`WhJMbeteboRh~#c@jt(4 zsK(~lo*+T)0}xbcFcBI=4)7Vt(~u>KY$-RYbp%Dc>L;010oEZJ!@9vM_20Gf1D12^ zfI#)%Y&m3izhbR2C)LMLsVPnOi`QorhcHa*OxX_WkdEtPkH}Lg@CJLvq*f>5j8&JsIEu~7P5)WMpRz%?}z`y!vlmg14Jwrq1 z8J<0nHHt7&@x-vI(VSMDO%s@__S{S z1wZ#$FIY=CR3ms5RsK^NuSYLOoln2GtgxzPrCf(NQRIovvN83l)pPxzpXAZ=1aRhl z%WF!K26&4zSK!v-7_ACvR!hQmKy6`3q*&?lqJl@^RS#bm@@w2QG$_db25h2p{soa_e{^9l@5I>R zymFNm0*8-_A168T>|atc7Ovw?SgfGK{2cVZ5Gqv7h;{}mGNmn|Ig|u}1e9w!ubLoh zIH-k`nSqPr^o#u*xk&1&x}cBrFCfLs$4$)Ac@!00T3@uuGmHzbuy86f2-hX$buYBK zmDF9*!`H_M@A?_*=Rrff{rwv8??_my%lVOt1Phi9LClk=^FeRNE{sj^s#>14fv@Kg z2Or%V@|Y_W7?cr*yo4Dv0!&#*^1{VanQMba7JWxrcCPC7A z>Oo578E}|W8OBVQ(BLIE1-lmFjsYA0n?z?)0NYmz??TMbC$?Z!2Egu>h74y4jSIxX zSHf!9Ymf5;jNP0)kKh084{vV9-_ioS9PZtH-t_Z;$*z<5O^WBukSR})I4D$#%G|LI zZnRguDW)r%4<}J&G!Xocxw^~fO!KqMr*0(I2u$lz)7@4i=TC3>aLA#;e~fcVueh}yJd@BI-fy?kaNX4RLXh#U$QYy1 zrO@QC=*u@Z1ly6IRPj{dh8g6^D}epkd=9=m&j>*9*%+$EgN0d5_}dK;;cC_-@V}H8 zMv~rnS_wucF0;`Ku|k`a{Am~kbw;e32P41o(Aj*;4gr@1Y^OFb#u0Q>7J7_3MMjnf$U;ZxYpB=+;OAj&mBS8yj{1a*_x%tA9IR#0EBZkV$ftc~|?2eE7=F zin6Jo<1aDbwAn;W2h}|ARDvnm0?D>Q=G{^-dx-ADXEZ9-aUv0 z&NkJoh6qdtm=A;bPb*Q4IvC0}Cesz|^J60$SP%~9mH*LRutd*QtlGn_6P>@}HJR?PSASmu?`fbF z%FM(+tMGO*k{1dwNRab@)ekjqvvXMKa3ck~D7agVfeaq2{rGZcRIv@C!yDu**rOBy z;IYt9{A93?%LSqT>o^X3e#ZDU;k3>i@r(x0)2~i_1AwKXf=~K#ygM( zu>_&_^mH#csP!W^WYS4zR*82=^+C`23Pv6S-Dt!(Me?Q2uPs4OP2m7*3xXNE^{m!; z5XcCpim|XD*knHpE~(T;Nicp^p($Y124S@#-%|P)Fw8iQy3jOM-{rPrtbq>lp%fMiD=M7^B(WwL86;9B{S^_LGaZbTUh46RN?9*?$() zvrhH}wmFY6!PckyNo)XMF?vL(OwupF{)JtUNHfo;f#Viq$jn8ko_gU6C=DD+1RlIi zG^W4F-3_VO3cqmrhq8Ki!X!q=EuEQI3zj1B=Iakm9%DV=1W>Y!l) z+C@=B8g~aU(|=#WYdO02vZ2}Uo8W|bvn*HMc8}hqkdN8Gbj!7)sL~#5U@(uI*o{*m2XnycvZ0`s+TfEG z^o(QZCH0Zj;HwC5U}dCWC5ZJDHs)1Nq`JA7p!xilzbb*UmtR>9NEy5+y;-OByJu-K}r9D==V%jRXN7NO6ek96M?ys-ypA1 z?Y1K-UoL0D)p?wj-p3w{PWfF&eM0M1dOCkJ(fL81{ZauiZlAjsBI)GNO0B{{n|hOQ z!NbAJ1Dy{UFaKTEUx)THh{=!p^U+}uK*GzZBTd2r)!<}|?n4liZ(GKjYyj!u?JXPX zi`fn5JnO&3cH~Q=%4xt`1ks;l%#59vT{xF*+R7W=XC;qzND<*&-U>f{R|&M~%5Vlp z{Y~fAAqxTctAV-NlEIRG8gZasuHJ4zzqHO`tcW>|)i-`N z%2G52ZjDHa8R5-D;ry`5l?_cepC+R?TRHi`XOQn*tAX4E)ygZK@Z(UH+wUa4$I1C7 z-DU)eQI#I2?UpiIFSzRFGL`#9vMBZlRGu#*wq*~5&)zba@Vl&HuA&@ou8si zeVx{Y`$=A!)G3NdkW^+f&)Y1|c%NTwWxNJxSQ}eV(jIT>Pj1vOz>s{`|3cJaWmf4k z9`ZL*R}t9R@Z4H{x_Mr+W8m1(*k06=j(Xp9VPTz=rA=BTqRe}JP((T^cE%J1n_-|w zxlv5h;!EWr@-d`6tgWfJ-M%f|YSd3F=5+Nh3AC;*!++<+Qg7*e?vU^uYkxUUw}l0K z_zaL>=2aek{V>9gg9|q5=T%XIJFwUWdxAMiGXP)kq2=U3w`C*vWlo(>>YO?vWf6+U zaZO!Y&KWvd8rOL1qZHeHu_#18=qRZbls@bxUbwelrmk@5@-@c5Dt+6acg&7A3c5!9 zTyxED#pJtbZ=gn+m_6rKV(ys@{tp9vjT~`}hLG|w!+l9?6Z#8xJ@Edf_1oOYuN09E zHH_huXjn_A-mTA2>EmM7fB54A6I<_`xt9ppd;z2Mfx-bw3xjxgk=FCrT@-qomUh3? zjWo)B%~j=0m;t`;@rTG94E`(jMZ-U$>fd_@+t*sZClDvr_*5P(ba5EzO{YG+98Hvr z3rog^d)rTU9w9-g=Q)fC-Q{7jL%ft!Guoo7wbiU)`s@d`B^z*GI3=x87V4~<@>V-*R0VaI zZ7?*!5qxNBX_Md|MQLY5QFe&NBjgdOXLhLr*k29OGh$4^&-@vMuOZEO#354t5tg+X z1D%IJFlW%acxqL%6u!~{4U+=UfY2b&P;@OImio|uGPN{NtGqC(U-L7-1t6|DghKYd zTT*#HZ(fG|t>zqabxzNMx}WK0&_#RDguxD|pf7yo67Rd7nI`)~P%5buHP(ee*q zZoRJqVIIH=De%-b#49I`YSCocoVduRqj6Apo+UD!&25h9s03H&J*2vy86b^4$18}ZkuYrH3uefgzC2hm@b)k z2IrX#Zh+_Yy}y~V^W009oyBf%1y7>amoyC7$^+H2XzAtR=umMY8ad0VsPW999G1kg zJ^EXHw)zaiXtSN1CM1^oSf)*yQ6#Br9Dr`|)D!}R+;lFq5J}D$Q7#ws=g8WLLmSdO zi@x5h7bGM3%*zR|8%T(p=oNX_tTT#jiBl8X4C?NESx7`0t}GYU>HfRm+|L(CRgqWK zSv6f%?QXVCLOx%SR$^e1GD$ScL>3NY5>ZCzk(pXj5e4A^+hTvI#9F|P-pSJWU6=x9 z_*WcF3PGF@lpjCjKlQ&bn#dUZLde+r>fl=dm*xYih+~}<)EGUW>Vp?p#SYsC3^sBW>CVA33B^jzY>87m|RF!0F{I%WZwh!3K3)TcAb zg};FqZ&056DV_&hQ#E=}`+0aK>rd%{8^9Qr(Ico&*4ZxN-hm-e?1G0KTbTNICau(L z9?Q5FbSWr+sUu%}l8{8qKN-yoa;`@%43cTb@m4Plq_cv8*B3O4kQ z{RIufC~ek*R*L!gXnBk|peILZ;8i%Sx)!xHu$ldA!hM1+u&XiP8+8{(&pHalW$Uk^ z#&iTVn;_W%xjhOFdu%@#`kY+QMraeAE2f#2xgkLWeijVtc(+8ibVs1=4fNrit9ea& zmKIKc8fy~^P2MY`XQvgid8mXi;0SEsh9+j$QMI&46`-~C!E#88?;nuulC^01*5K&x zA4$Onb{qA)tIW4WvGthw@y7Ab?8#~pBtu44xg8~m@FaUu-)L)cRV7gu~F;{K5B#v5YA^8 zX#WfyS9=iT*5xVZImJo8!2ZjB5V(UeJiyW!$ze62GjSCCyKuOFzheRdsjA+Umwp{! zvAp?NtV74?ah|`w)PGCA=sgG#?DwiwHcG3Jv!lc3tNWZ0FYTplx{QK*P+I2gRFo_% zBOtE~xk>i8y7QWNqsi-2e~pws!aE4O9RqkuzfQe}fyU*WHTio@_`dnTmj!&ria08{r<% zif~tnx>|*B47M5Zg^N`AdsSuTE;bl6S}r%o8hqt3HxT)PQI_&0l+7s1R5@dj3q%i| z0bMw&tlYVGc!J?-zk|+MvIUhEr%gVz4fDs&_E;+kpanar#a@AAx#1I^UNxJI+f~#f z>`7n`7D7Den{ek7Rt+%JOo0xZj+re%);q5WsUidGe+T0f!qHL!lDR!6Q0fo?ErX2L$=YoS<`c?l~JCZD%QkI@hMN{TcY2$+vhc5XI;(RcY0vMwz{Vo9pQ)_pydwx9mZ+yq;&c}rN?k*{SXmAP4kUOQa%wDn@m z9rld$PFTRi2I-n94a@S2{yBsg{1GSfr}(ymeJazOCzvjZhDy>@=$+pa>8RRgWfHvt z&OTl_>gJ_0s!%Rdbk7DsP7;b#IDWWf#1omNS*U>NYOEo)WAp=E zVi?nbO*JO~#QnC$kE08GOqA>G!!>QIEM{*UkKSmQU$zdV_2`oni!+)sNJ+xJ`vXMP9l$!$3L z__jc{+M&T79E&@7_OP zeOl|7wQAN`W4yk_d;!&~L?X>Kq9r_3+-_B|H={4}lvIeAmZ=o2$0Aq(tA!-<9br9T ziDOr$GlQ-7(B81I<|Z=$ASu^tI9-=h10>H25)hJShS!UD=O5`V->-iFuheAx)&b}B z-&iFU{3HLzcMX%oIM0)Noc3}ScYQb6qJpktusZXd2VvS9X+)r9fpkLZy(+mNnXcp` zA4OH(&V?T6A2`u;z2LJ>oOPl|EJ<1^#%E2F&;Z1l^YP|dUd6pn%~Q(gig+KJcJjlvf5JP~j%6ogFqDZKQ@A@mAhyr8`>N{7z+<+-> zYr6VA?hc=Z$0pp7pP7?~$)4%yyMsNXk_#zeyQ7+4#sp4a&nHN3#%r`_r4ru>cYoco zy}1Q|_FC3XMa4PQ7xPany)?gbvpqWNIZ+U_c|s{hmRr`aBJ!Ety$mb-vi~tZ=NZJ) z+}0(K3a1e)F=GJ49ASD(UhWQGlKRfk;9Ox<7=$!Y3`(@o%qZmp0zuJ|hA3av1%tXO zOqJYy-~;FSdTp}Cn_B4fk?ggo2(}PM)R?6d#X|FgL9B{2LN+BB6H$d$1Y%G`>&JAk=$AJh zZ`UnOhQnhdr6jdlhf14UVrBry8s;#48YL@PQLMIz`Sz)LW*y0tAE0RWkdC)s|1&Cl zB!$S(Y;GKQkQDtciI*mM(HoiAHkg1aVuk4@k5I%|^=My3V@G=>DgMR;2mZ63x{2hh zmIXm0W~@c?aqff+tmV=i!%UGw?C?hwiseXQw_3a!TFJU}#I|@dQk?;yPoHYIuaEAu zP_4MFdfaYw^>l(8j|AF;HQyeq4r>_NM4gp#Vc&7T%-g$lK?--|`l5~$+NImKXweA; z3gBS6VSb!5$zW8PN7X=%=l?5SLZ#$Q>CX_Wh&3##iMRYqRw#ovP! z>eF4UVyjtDZS1zlk?b z3;3|Ye-8@>djT{aDl0;4G7eh^Sp*7K-8;Zk1L^(6*DIKa_H95w9ycj#ysW@cDh+Rk zntsoUbdi=J3gEeGCm;E*UkDk!=E%*v&VgjJT}|^+6FK}vE<*(`Ms1W3|H^OZv`P+% z4?;G~p8OdF%{F7su)7-aqs(X^+rYNpSXSY@FvrtoE&zn4FP|lvFT|b7fEzI`MV0jB zbUrutdsVvXW0f18t#%ijKN_Ntd8(s$AK;em2^Xzu8&y}1xYK^#UK+Or+aY&`6lJXT!T7dhRbHlvO2 zDSo!INjAqw!I5j3 z3_C7Q&FGpgA;BK|sES(+pB?Um>@_6QFY;&!p0r%*Qm%QR@pE&L>+>OXokQYC<#CzM zyQ*R`3cED*S>wpV*OcgZ;gC@*%|i=dKrX&!&@Sg5X2|SxL-|hICn=zc(2N?q{djkH zMu777Gf#L&(LirFK2E`om3-XM2Dy)3xs~7h(n3drbf06y7o;)oDH**n-AP;3pnXb} zuOTwt4Jq&)iCBi@;hkhqs2i4}h(%-<3QWNpae5fdH0-*~9nIiYQ`B}Z0f2kbMk8UN zuS?>E4jrCypvbct;Q5=ug=G|#NXcxx9YCQYaa3kS;O0_mjSrY$qWoCg$5y`{ZLWRh zow#4=`>Y(0B-x0zPS#{WqSJ7aHw(ASsfiLs!)aCJhOxD%aHN6rLps~K+dcAy-~ia( z>#LvbVAU7O%SUujrr)8z(Zpt9SKax)1?z7kC!{o4nD7E5f$W^GhrKZUo%O*!NkCZl z*L+7b<%h%fH*y+|_~yuFC|>#NBTY(s{s|>Syr90n??!iFg?9!`8Yt4al&n3W?h(jG z`@$WDV&8R-UQ{QqffSS=3*Tc<)Jt#A*Hv6pSw~<;9*Y{>R?gq}20|<)w8>_Q*S8sN zbkv~qAeH_f=*YLe)G_10Eg1j+s!v#I@Fl35jMAs*7QQR3YpY-S^UF3<--oE^@l#Bdq(;7 z=3>bH<3Espz~hMpasMlWa+}N|04e(oPPCp6bqi)p+!&23nE;BUNptwbr)&L|(Kz0h;U@|LT}{va->^`QSH0D2NTv*Ilp5%MjxRxT~5(>@eK z=%2)tpc|HjHNRB_mmbiJXea(B3uCc?xUL}2tPk3I=opyGC6XubV>-k=tv=N2l0%GT z>O3c%6j*foUx!q4nK`W^fQd=_AfclgMg!!GCSrgk#fcW(%I-F7y!kqgLtNMF8chJA z@VgzkSdh3uAcnAs);0eR6)ND!M^P**_+oX`1SC&LBm#xRTOgu^Oy{4$-lk=`-H&HX&NQ|s=CX#|;jT>a{T9qbQ z6Rz=ad|A5zZ;Y9YJY5)1jU@0}nltwiTwI+UI3CwGF)eFN4Amwx$C}KPucLh2!u;lG zHyP;?4uwBS94Fa0tPKyx@6S-&B8$uRg_(tvlE z@qo9|2zHpU0V8AlTdM>+Skd&fD=u%Y+P-|d-Isrm&qqd7Pu)D!MPWvftjgsuHU2)b zM)ZTgq}P8U6vQV|{eF$CME453WRve)R+rSnB#u}JTQ=9al4R>ACOx~3#f=YgiF&zB4gOXL3)6QQ9`%E9_kk?rl8;(bQ+A#G zjA{x0b$gT34>0HUfI0C>yLidvR;`gs=briptz(-dHX%}Kjo(+e zU~~r?n^yPpG&ML|?E#xuzh$P7Y=VMji_!DebD8tJDloPg>GVep*#(NrQWBlgdj&~% z+QTlh1i(S5c1Qu~`q#Q*4rd8U+RSuda&d2^0zlCK9;n(#_v&0GJGIW7pDItm6sK@p z4qS6Trv4-JIO4lh>c|^=p*rZod1=c#R}EF)lnX9gI~+2Xl&K~dg=)=LO`5=1D}MpC ziGopo%5DFiL{0l=ZMK2BNc+uXL2aph(T<3R9FVX{o!|KE=p=p0Jwb?;73I-k;hY)2 zj4h%tY3o)e)|}#+4M!`bwA()JQN(%jR)Ev{*RwcwiR%2#0cSBGzQ|Hq5%+|At@We> zqalWR!-E`gQq}~rS~>g!S@LtiUgB`*Dp~yu8w+4`2Uv@0@cFQVJN829!e{r7{v$T2JffNJEH* z2b3FF;m0;udgE4TmF;##sf5qh_<5GGv(IEJ*OH<#SfEa4VXJeuaoMpRbU)h^kLjXw zH(~8#M*b{2HtH4@_b5RB!o%<;1hpcR%I1D6fY$QQ378BIHlwr)2{GO>KjE6IfYQMr zYvS!6>AVpH0}0P8Uh7_a=~3&y@LPts!u{_59pL}=fBKmE4t6TQm6nwA20L2Mg*xN4 zSZ)Fm$|m0wv1<-%hFL|SY}tVcYZH`IIF&MSKj(EVgBnV)<&`Gy0<4sf#`b%67-ah2 zO~#m$?w!BgBQtmtP(r6dGgvclgg8B@K);$|9Bp)-B~BNwPtbzbqppjuB^Gjp(&;RGEPg281*0x5 zH>fWEmLEWPJ_FXLLB}zx479uqn#zDqqrgOC$*b1UDOv~Mi-D5{b`51p6Si=WR=!!Q zMyMMTXr=*Pn(tQFoDP!Tbl#nY9>*CBBSjdB_OvEzfRz^uC@->*FXUpi&j^l?G0cb@ z?D?H)Jv-h1nIpiuAh$ zGR0q26thpGg;-LgF-Rs)%;3sm>|cOoH(4;R?Z=7`sv>8Xio=$i-8BMP39F)Y1P*v6 z>MG4YN>waA0k@2#*hGGVkN4(}Q5T}!F?FIcCZht_M@NyV9$Zkde}s|bs0Tf0s~B~b zLD^QRM;FT>6l@(G55N<`RxLFpW&_skKN9$j-#dTet+{=`-*&CpwbH@$o`sB!*5h{r z6=l%M}f<)c#B^H|zsHXk=TsweEnm8Q_>CzRo54j5}yq7vI(Tt8*v4 z?%o5!3ikXN^Un?F!9de0f7!gx`pXFq;w*Y;^*vJW30N6Pw07|BLRtYCJ1Guguw;l# z{w>;LcUd}w`(%IBy#ls*n_y{Y6d?`hXad!5nQ)6O(9 ze`RBTV>pWH7Ww8AP&$u#`$s0w(VRp&rbF7WR~a3B1f}r>D9FwHc_3xj2!9Kj4NSo6 z>$=W|DywnHMSB%KtQF6trr*LeH)tL3;mBQ!-{7VO6pKo&N@<>25Wo+4Ei$A|#B7)( zTPmfN?=c|wOr5Fsu+`-77Yh@8P)oeNaU&yVPkP)6ovL>O;%t?Dx8~51<+h#*S@VRG zjv6(wQNNlEl{=0y4UGp)o?(%vukYEC@;{&-3b}HJHZAnt1)ZpQ1;E(F4BXwSPIBae1n!9%0iiKR;rJIw&RJ_Q`;q1{V1@wvM-(7 zPIe#77iRTJh|z;y2`T{XQeNDot`y->+}1}udtvLW0q|XYD$k$&O}=YV`V%028aW9^ zk}{reE4)?gEiei-$Xc$&g`H)iB5q`R?rD7uDb!Y}RQ*!!zg5&^1=7lirdTugv~?-E zX>g#Zg421%D5Rcuk%SRF3^}3}Xmj>FD@?Xy_LkP}bq>qv_-O%%%GpgVyG7J?82GN2 zV%E+b_|B;kQwwf985gSP6G>4u=aLtb+*T`f#j&mHS8Wo1q@eFR#+4Ea|FKNjt4wrJ zk8O!2sfQe;Kt}Lra;sZVN^c|5K=Q3hLPy?nc1k^Mo#?fkY7jYGivPaG&8a*M*^Ggt z*-s|(cpZNCZs!Lyq4f&f_nGxA{o_iDFF}Zmd+>}Wj@PWFk?MwLFw(DFi5G;g*%E5yc3gB%w zZt@rk(R)#3#>R&6*Zhx%a#5dWD%M3OhSlB9*p1ZjK4{dAEp>&z5Q)-Z(&qYpv>G56 z%))6gT+Gmbbxdz|HCG`W?ncDU>IKVR-G2^RTat)d@EZH$1GAg{`H_=BQ6>)wa^J~6 z7~mPk{-gj-h%9t9X1!S6y_vimZAd2|dxG$->sz3n{ZEW1n)wVqhP3|tnK|Ifnq@*v z>$GUik*&Xo5eSFHJDK1>1sjp_s<6wxA4`r6D*5aJBoj(l`A(Byn8<#C20)g-d*v>S z=4JTkcHvH8{A`Mor3Ya&=!}KI>zry$T%A6sTT~Cd^T2}adoRm;fx9d|3q6hA{07ECZ#?>hhEO&^M_RSX9YW&i=}OAyZsTVI5s^x5$?}h&CfbG3 zDWI)T{fqnfEYJ#H{hN{)$pe5Qar;_^!-(Qx*p}3f*To5HI1Aze;)ZK_Nd5MyQ0s4G z+=i{!{h;nJN9o3%MpNL!~wYY<|Grk-!0NLhPefk8l`B=-2*{I}K^~t;>s~ z12p*7kw~`{Mc37X;lur@R91b{p0k8p>dol=DNml#A^P8I+IX0X%8?Jm5o_CM)fF@- z;qfMs&`A5o$pfxcMtDFn-B=Abbb(hOr>P{tcYGL*Qti%YW}mWld1HTwL_ST`DN2O_ z3AvJmh(25I+w&k5y zae&lXEB-{=WbnOyMO4u}aev(Ec&!ty4<@&%qHn;^Qg0=5u>VEcb2!Oh!F8Gf)WKR` zkzJ806)bBjvHA+pGOT+4{d`->ne`pOCiQZj+`19wkHqok;2D7s7X;h9^7o-IxB60B zJ0@2#iBEl@1+Vq|sxM-9q%el_Lgfbva~BRWj888s2g!3I0@;N;gB^FtLe?Qc@qvIW zzWZn{M1n+Pbb%sdRH5}h<`C+xAl0pY$v)zh3dj`ItpiN_F9WCHeTZ*^#3vX)eU-nx z7xF6ywDFk4-+5~{iZT4HW05lr

GyV25vqGDi8Cj}OzO^E$PZ5?=Esvel(auX=m0 zY-_VfJWE4LAmW~jVu=vWr9)a&{)4Z3XDt0?%L}6($d)bLgfL)0mRCK4mkPC@D?0BNg1^fRP=`U+-_m}6Pt68XA5^^| zaDSTJKDo>xObJ)ow&=v@tGG!6QZkyoVz|;U0~#-lRjbresmiC;1n@?%LXu*88ZrB( zqez0l_#KA}|GiX%#-3c?`@5+%8~%TswS63rz>%L`VDPFy-pISOlImjsIi1H@U7COd zym_KYEnK!hpu2I4Gd+JD5Jq+brl|FoW!Fyo3j}RcuY@Y~N;B+k4_~K+`N%Zt{bMYm z!d9L)t&R21@zphfA>s?`c>c%y5*F7OV^ET$MvL9dZ@ z5=m+tcVc)gm74ms8bve^{ezJAfg$_unJwnBF&GRm(}hagHSE51(Y{MxxzR6YHJ2&D5AjT4Y zW5T=JX=6{rcfMMInV?R%1K`e}GZTo}5)l%rJ+cr4_u>-*IGTDUerEQ(rU zv{E!(K33+X+6Bahh7GmiH>OCc5U^E=dJhaB)NSoxSEY>J>Dw!q)jn~7!IWPSnhkK{ zQ^AL(7FQRHKkqSgs@yaruaPm3im+jSgimr&+_T|>6nt==b!okmPhC`J%;UZ zBTI8H6$5>g9zQOe4(ZwQKfd=(BVgv)o2e4@z5B=rZN^6 zu*uj(XC9^jUnjwdl6Jx8udgcc=k~p+NyN#DBa1BoSM|y8^%`wSr;NmR?oW=Qw>Q+t8SHE91Fp#*$rx5c- z*0eqcm6uSORo*Zv@aTyzv&y>ufl~RZfB)a8{_bZ~&+l|}Whz#b$@0qlJ; z8$w6&<`5soN2B#f-(z46b3jNNec9K#yrc~~VaTt8dSQGs^PgzD^#2!abGiAa|0miO z=cC#+zuc#knc&pDqhdVX|E8V6xVOuA0CxT0aBQJol=L7M=aeNyU-9A!@;)XN2iU;2 zNzgjD{l9t*Z5hMaTj+cwBH=Avvby2&I9~rkxdB%j(cnIbpUp?8NaYXuJcohw<;_)D~6bRSJjxHSX8nyHKOmu`+y^(qxZ&pTUZIwj5P!zQhNs44 z9!-CP3x+=3pcDQ1sXk)0SLi@&VD;>IAk)$2` z_kUX)1=L8(S}%PF$>37yuu5k>5{Z*IVolh3o){q@m^J0Lr!7r*yH_*P6t z9a3)nSNZc7-L+&#-TMS_)jX<1rH31k(1H&3fT*^$ZG4<~MXbedvv-rKJ1d1*;u;n* z`K3^-xCsvAUwPTzQB-3N3(+h-N0tY$^E7I~|5Lfu3r3lK4 z&yMPnb3I@;ii6#@OA5)X8Ig|*Ljxjexm<5M<SU~U1zxp(-I&<#s{&f_Ylbg=bO z!1q~@A6s!iT)2en%zmpQ`W+7Ya(B)GdrNy+=|=kpo!Th9H!7#gG&M~^DS(Ji$?NZxjjDYz5dUxW2bIv7m%U z>KyjThu2V2mq3vA3=Gv$fr{U`f2*7)DpOjU1uw@x8T&nfH~_$$0piM^wr&4(@9{PTT;_ z$L{pMx82RnvZ7$>+<44m;vGvz%=kAU^MN6m!t8R-luq14dyrR>5p7xl+WbzL<`)$N ziZK^NQ?VX&^KC2rJ9XF!of^5<5}0c5L3zt)2(zx{uR~}C zC0=s|4gPNcA@=@{bVKG}pvnFP(}ddL(}Mh8r7T~`hptrS1L#>lTlNl%=dL(jD-rP}tHbK$;t3E^Pf! zxg(?fmm_R2j7j{?biRjcbSMxMFtQ#$rYDf;1NwJlP7roL65WFl-QOjA^q`L7kW3gF zCa>a279vgyXbKF7FQA;qj-#=#b$h_CQPBMf^Lf?q&XOuJR1qR+anjv!=?6YZCT(T2 zA?Abw4MrkLAUQEI-R*F(Q8Nfdflc~#xK(fhiFI78Y@gvaYEuW9b@tC6p<;`b_^3ze z*s9~4r)Eg2rSditUHeaA*^-%{Sjxc)SQD57A$A$1$#r$z5M4khK6{13WdSS*1JAYH zpWsh%%OZylb4E~!`aFqkr|f&>9mTC#4idLl;> zw#3NdYYIn++26?*@89@tGx6u~re-s6c%Vtaql!>w1>L56DZB-FYzlgM#@oI-ZGZ8v z+;Qz-_GEFS)iO*&66fmb(gEma{Llf{7%BMBkR`FEiSw0EjZV-3?BaSJMxV@LcyT_Z zjyty0F$qc8lS+nK$IR9t8JnOqT&T;xdmw7W3^T`FUK9`W4#&x&?p>2k2nSAc)s9q5 zi};I(*XV(CdvuZoiy~`!>P+sthc=d;It8XN%`q{Hc~a5Qcm}|M47V?SA_K#~U!Oq38BKZ=Yq>&GSmV<42vv$@+`VI+CBieu5|{7PNSblT zmD~+?wp4q^6KV-0V|@*lR1*mleIpDqWEiPLcKy^&%#rsbp_4#T#xE{)zutNhf!eGw zU=R z9Oqz(`2soLGwgw!XDy6jr52Fbuue_vd{=P$FEcRI1`EUawz)4ArGpJ(WbxA=q=y5Q z#x;B#YWOz02|tGSm4pT{I6CFbFh>>EV5Z_1YAW>KFj~j1$bh-~3e7@2UaKnyN7{x) zOor*&3r}AtJj51vAvA>@a_}>MRrgh+Xgh6Aw=0>*WpG^?IVKI={r&6S{6-(L8$8a zoVTLrl86@6J^%^KuYHi1Jym9VnSk+6&2fHFS6O?Vm*?%NG8FPswKR^8HKFE}TRqKi zvW001y8F;9TiuvMtHC|w*)|*;482opLIlzMk3tUYdW-ZAU3Mc?tUiPa_l+_R&Dfozz8ef>Rw1J=RWWQiso3r1F za!|8d1_53Le8kg#1T(R2lMNw4V?YQKkpwdC5<()bzf^?uCv5m6k=!B*ZxFek4W-*h zAC^F*`h7niK39bYC`Mbe!o9%@qj#sxq#`Bd$zX*ZtsaJ2Iv{=wBH zLbsY4_Z$`U4T_GMHU1d;qLHF?&ZU3_j3E^kio+8VXZ)EU5l=Kb65TJN>{F2K439g$w2j6WU^8}DGkU5+gD%^?7reS9V+~QN{(ktMKr~`-?*G*%3>F^(lGEg zB^+F#{tRMEzjx-uYbsxMtiZhF67_#u?TB!vvr>S8$38^kF_2841A1vZ_52eEx!<-z zYAc{rjlCJQ-w_R;Hwehss)Iz<=_{l%4=-WVpHvbS#EU(8GyA5C(tqtm(inInz1giZ z0=ZIoeEzywzcj_Cf{F|Rh|!^`te%X zDhLwBTeQbReRRO5m`tIP_4Zz(R5zZO$5Z?!OteHTN%9()l@1D+qb-_a+q*DVamAHr zmjL7%v;Cj!0kcc#Rkk!?Ack6$SvukiCGVV^4mG`+gVk_tfaB|$=*KoI572Ml@@V{ACM>3=e$XEPrs8iwqy%UbbADW zlu@|sgO6S2K6k5gbj^ajn>;Itp0xA6XOY3R%=6EhDz`~4r>1f{-R9YBC~eLb zV&`&Q8UgALW&)Buct^JEKPU-KHbC~h>)oaDy9Z#Q74IU&KK+ER1(qb92RNV>IcY-|w5L;*sgEN*+kjS=^Qq?At<0hMT7jl?*p| zD{mpZ)#U*4L|*1gCm#(wyfV$eW}hsw#2VR|jo9EWv)izB zJMkMo)@^)pD{XcDW#m1Ir-JY5>_I@T)MN8EidOcXE4Y{U9Z|ALGvQadAiJkCpv0jr zrem)R> z6!!t7pn<75!Fu5e)b@Nf^YlH+dO%_ln;&Ba&-8+K9wIt$cX8{3SMOv^IEzFWttfbI zE?wzw*WQO|TMYsz2u}tt{%MdkL8lv|4}+UkRRUt%ew!hsd;{FdzJ;`h~@c zWo?8RGh~hNRnwC?ta;#`CNR82V}i9Y2{*{{#qMA`0iFA0O3CR~e9Uw200HJ8CpG;V~HGOg)1hK6(wOBx?h#>5b zAS;L zbwnxydUn}D;EGo2Bcy`L#u!p^qOv@Yf6Y_ImXQ9f31_zuVaNykRN`c#V0k#W`pQ!# zAbr?DFw@Z{LY?f<6;FmpKIDgf7~@bo;t7{1A!F_>Y(h^V)2(C*<+go=O3HRX%=o4> zNXnq{n6dP!lP^86Yd|9w7`EW2VVdll{Wr^;^a96XM_gf2q;o1!wc-2x8pF_+tM+xd8wNwT4^K|a| z%gH@C;(Z+H9k^y@CB(FSJiR%IXO(9kXCor{iS^SacP<*`I942N=n3~N#w?LngkUs9a+S~n8lK<0tABp2;R z6VWC+Dhr7MH=o9}UWMd=o-(2gLG{)u6>lt$|HQ&1s}y9ytu2zj(%CIM(0eA zKC+uLLd_}I<$kXTndTQS4C?3v3ev0KK_|dkl4W zw8TX{va4#*E$MwRJGwG(xfK|@1rtZl*9Y)|ZeE)u6E( z7TA#ym@|iHGWedG37N$}Wk%-CM%YHhhs<5&ElVpA2Sfk}81G6Q-Z3Wg_?io9oSbd2 zheypc08dqh^0WS@bl~Lo!IIfOU4ehP!k4 zh0&jY-RWX1`}NqwBmyjDEA3Q8?*^gFrU=b7`HZJ#O!Hoz$Pi3X5qeR*dxT-I@?|vD+XoG$en=_82O}{0Wct$FcU}|xEFwUj9jI&CGp#0#r-jF(9f z0G!GXrgyTnReCotzD7l6u5izAHZYFSvE>KTE6#fuNZmn(mqkJg&hIZy9vOG)hN3G) zYtm0R+Oh`;Ws+a|JlfuZobGi6NC!bT!<{r|98qD{GE+)yZK{SZ`-{X_I>y|sXnoj~ z{m(e6FF$Rua{Zbo9FjqfQM_kRPb>BefVV#bJOaP)K_>56o*U>=A1y{dK)Coon4wX< zSS{(4P_e=Ldqwt8EVXImKJr$W4BSfyoo6Sa%7UxVMDuaH9&?G~rrXRxXkVPa-=So5 z#EKYM#LBK_0b24avcxR!8vy^HW$f{{=#>X%_ZNQeN>mWZgy3$K7Mqo4r;hADK=MSZ zxb(No9^eeB$KB#hkx;i4CaIBi4M!D~ubaG`wQq?8ez;)r#;EyzSjetzSIG&C;|#Wn zrXo;2k%o#(*(|-IR5vC$)#0kt&NiAit7Y@x*U|ohXK|3R8krD52{*?0@RNXLLKSWe zy<^in=$ULU>|M+GLZ2Far(caGpi-lJ5UI|BBv9w{R4?LE-sDvA<&u^l@`p#8P|GYe zw1SpJdJ=a5B0f~}sjI0#U8=egddi2d(+Xs1)Jr!Ym#z2Up&hTJMxs7dsC@W)(4&*A zEP~=*TDtEe@gwzsvRyt;z|sDtPBW7)f?CovixPTxFoJbzb_pMoYf*0jfQ!U%#XclN zU#)~_QW7%iLH{1T?egcVYcstK4f(hWCVx@Mg2#itfNlaZ-sS@B+k|acD__LNt(C;` zyQ`IFG&sTBSB=uQ8JRK&>(vW;f39Z;Xu}(gDGq<<*_9W+Kb(13a0q`9-VkZg$hO$w za$I9+s7e-$qyyD3Phsf?dYb!y8YAW5Ky$DDn_Xc!Mi{m1dPZGeX{wQ7qb)Fa(r4aQ z<5G!;$F9k^KmD7z;qWMb`XMUAHs9Lw~;Gf*#Hn*5odt!H}-h(&+wqP_Kt0S62JH zkaZ(Ga`xpoS;8U&%!(>N3DLWG9le&7qnO)Q^AVNRZO@*2QH!Wm*X?<8?HroL){KV2 z_r!RwXY|D67@kd2*xv6GBTZa+!jhghCxFH)dNhfhe_rnAn;@5Q%rrpX61N{XqSf>Z zVseZsuOooPYzA`bijB#!3AFQ_*Yp?R|3m2~D4ow72>^2f^s}`8^4XLd-f}~)QWA7? zY|e2Pz?3rguHS(XL3zu*K(7^Rj?_2;!Yf+pGRvPHa&1>yx=*=bl;+cAD><_)I?Og3 zZ0b!h9XxzGf4-5{^vsM%1!rMG&5#7C-|HM_qQfK45AdRja9l#^`d(CV={d%?8^h-j zpYOLwS?$;Wkak1a&b)4Krm{j^*}7I?CX?rHiH#)ZZ=OeK!5eoNs)l?g(Dr=e)p-xT zRHHTQl+5Kyt8}mZB!{oGVyYj6l06+5IAo!W^k&|Od?6$$JUr!w(z%aCzK6#0w^4-+ z1V+SzuY9^HUdmJDkV0pvv4r%r0qMCgiYez^;B)B8q zKVqcu+tGLO!1P@Jf?Xs3Z;m~N#_~h!z5H=F!JxAs0g#F4GtNZTZJ4v9IL>N#JSAD+ zU>oED`;Sn5eLe9B2a0L!{|T5hVew@W7MIv*&m)~}jw!XCG4HK5>*Z~%J0_lCx3O(r zmK_wUF}H|4BAH-m{$1Riqdy0pk>;pDzGPR=is$YJjp?hWK!~A{XZ{BHJ>uG~k?4uk zu!DGc0*Ic`p9_WnKjPqaLf#w9$`tQ5yR&;Ta_hZ)nQG8&qga2soXMcaTIht!tT`r{nPW1ZW#%*KWDIgO!9_5?wa+;< zOigvvnuGF3_U)N#IR?qD4KG|v7Vk`B#o0|*^p)+w@UNt*p}Le*CQdzpAIDYH_ z#xPQbZmb-!VUjlZ%YG!;vzi{CzrhhmBu*NVjIqlG1ws%7hta*(%gKvm?y(X`v0k^I z0_2c_B5eA!gg!24F@rb@q;!&@3W!AsD>gT^&;i+u#}Qk^vy(u;@ij{cH8phbsGeBP z{og~#{8FU+lon%Ouev)!H7HN96pL>pE!1wuY0LS1PE~;Ch?5o1jHz0^>_R< zRBgIM>X~MR;+iN#!FTu6ya{zBG=AGN;?xnC{$kj{I2E@7Rh4_OyI9=8k^PT1)!_S zR)@0Yt%o`|4h_2GLl|0eQslMQ8|Uvuy0{7#91D~ov=FL_L2DB#6BHaV0_IP57@0QYlEjHIANW%S>spG>wQ+o1Z4NVTQA+^5i3{m zg$-_yt;xmX!J?GvuCNGGf=>y|Z<;qyg_3B%BqnC>KLI(%`y1h&we@GrHYup%XG(=`bVj^MTOPZW)C}xV7za?mX`&#O3}^?KMzt+36NeT3-dU@D0JKW^6oM$i zLufKdhw=}uChYqz`lt^V&1Z)sSO+AfgSEHd@$EEOl3C1)Qjbql{0os%o0Ww6RFoiE z?YroJwV9RsE+r*6FYFt=)7r5g-{u6Lx)xVWmx1$5tq}+6*8%6RJeDhsVJI$*aDsYc z=L)9!d(v?VD{*0B%OHMX^KPj@bc^KX!B|4 z@Nhl*_kF+g^roWJfg(zK6UOw=vVvUSO0eUj=H1hQ<6|JNm_0i$07#WCf-GH5t7b1T zI?g&jQ#;*S2&qTuES!Q3U{b|8_{Oj*3_jixN#=pHOLEOFL+iy#s9iojl&F|0AuVS} zKAyCAm1GY{j<+v4Qj?z@7(F{h*|@RFMi7 zx0hI`-0H-e$6h6H0_e21GEp*%Is8#U0wzHc?zJTOzzC+!%Wd=vzz~LC^J*~W{~dG6 zV=sl1{SChb)*VJhL@{e2S#}{iS~o~xCjU(zmFc`#H}0I7>Nhx$LF=i{{>qFvZ}j7I z3;$1C{n^K4U;OOg$S!f6^4*o*Hw~ymT|QqO8T(GbO8qpm)JnI z+w)``?)sY2g-PZ|pUSU%Qqucr3B*QXA;Z4+cQJQA^Z^ovaTJj6u=G5d7vX0nQq&PVUwtzzx)R+v_3(X@dytSmuDL@*nhwQmV7)f zXcT=$A1AK|hm*m-1H}1)29kUbU_;xO&IhL-p)up_uMG%H*D;pGTXTa@hku90$>6U6 zR5HQ3Y^Gz_z_lR~vJuk-)Zr}%0*Y-Z;6KoU(>Th4C}%Uym<5M^63^w+B4HzJ4L=8Q zo~jn_LbNd64HaCM!)e@82Y*mx8k~DTU9wREn+s`Ml@uBc2XPc!fUvcR1T-jifX$(3 zlCX#gkPx^BjZzdBn43v7C4qpy4}k^62Q?B7liqTybYv6V{-{d<;)*NO^Mu<6$2(5s zcNAv_@@dHg{JghY>rFA2fp8X-YE4_cR38EX+pXRSLrE@Q%)E@L!GCf!+MGqXd`h$G zzg{%c6u*s}#+VXdS&lJA2)MkhE?W@!aWa?B*`*pKPnlxEJCE~BK3>L4)kQUUCHqSj zWuUn<~J!~(Ul4!uA*3PDJa@?iWmW0K|PC? zQ5bu(GwyEFFrP6UM}Osu2}?Qt)55`ikuS8DWXw$yxw<$PVSMg|+K>%yOOnOgVb>tQbvQ#p zAd5dEqDyojjoZYv7ZHFIl_LD0>uApLYQ!xG4MEdp1OZ_;Wk6oB;*#x_Bi+n$Zy?4SsVX}vWx*y_Jd(1c z-e)$e3~(pHG_AU;$CaE)MgxD7pCJlhWuv9P_c8(zp7{*j7Lc`w9KSp1#mn(71bX@B z+xLGQP7aF*D1Y3WX9Aj8Pvbnw_!QC01`1uOiONK>qtr4u0&?LZasZF5f+1ZG(+W;ukDh2as;h2a2CGvGWuAs{x-oIA^8dajyIJN1|}qXQPKJRq}wXhrY3++qnziJOwkkslTgLMqe+yt!M&J7SrI5V7^MXntdhYvZO;O+l?k#}pu|q7+28oI|j$Q7lr;?q^8FE>1J~ z4*U*a-dr$w=jjcb7qtT%TbuN+olgKkOaN?h(iu5YSc!&P!m>Px`ud1Cpleljy?=7n zM)iY$b0cx8x2*2d)t%(239#Rqzczz&tf@tZPt(?H^PXHuD;?X17_Ma3z7f7{&FtyA zVocw26P~ASzg34UxK&W#Le#saeSWuR@}A|dY&&%zoZ!x zb2jXpv+sTh6J0}-_FiY!4tVy?D7DR!77NTh)ztQ|asQR+zGo6PR7Fwtz%~zbax16K zIxtwI{%BuL!Q;hF7{r|p({v8^*y2+?Y*b@UI{)=QM<$3A^ThikzDPWElYj9YjF?*>qf$OJhKt zH5Z!IrDZYEi|>eyOlx?R-Ex(A?UiP3%)EZUbnE-rYwwm53=qPSQDoB5*u3te}?EE(9{N14djv$I6jl;(giO^`?dH6)o80J4Bg1sb0U(LVf$PZ)q z%+P)3&km^+BkKx!F^X^!5vmpRy#$9b%us?u7Fh-VA^{l{xf1!3j{#Ufx)WK@D1;-ip z{0Q*@_D=J$9V}8=^3sk)zE~FcCVsLLhr7hb38hW9DEZtF@7g>7l3=yb&B7?a z%xelUfiEjm`@6u=%cg;x8fgaz>tfeHzsTuw&U5vL!KGQ$0A~az%+Vi`U?jyti6TE_ z$?MY{x#vyyV!p_#jGc&jq-2_x6R^2Wb1on2@rp-AnE(@1xvxYf)N>1e(bwN*#QK4w zbDnu7$c~GPO;@Jl@i492Svzt+=-YrE<$-?LO4eTO51q7ux^JSQJ?Ji~bac5%Q>sFq zL-p$EI_D~VOyyZ$^** zDR%6`8_GmX&zEiABhjpXs*_2&%+x8mA6_IrL~2E!LN;vJT0vq*T`Yi_8h=LJ9FW$1`_F<~#i`?3(; z9F)*OQsn0&fE<)8FEed^{-5^VHSNv#Q#$4&F;6qgWV5uKHA|&`RjX+I1@kO%|L!R- z+*#@!n8mNRM_QmxBSMpBsfqKYSA0~5Z%s$1!MCeCFXN)_x8z0DkLFLJRIOAGC;6|c`A%il_IJm2Wq&J) zw^78Muyb~>?vx}r*86by*z-9-SpZIsoee7~anP zFX%q0H%^aiJw*Z*3Vh7My^p|bp6LM*KEsq&Kx0e^?)5`I>E7slPQA%#4&dbF1isi@ zKz=~67q7evLA}U5>GBWpuk|UH@dytSm&YhG76CJp5ECkw2q`lR1tg%Nxr>(>DKjR2 zpc_Qc*XYYCTAT}t3^s}>wA!MKp-gBj3`eUCx{8-Jk?~L#V>Q-E$}%1QfR4l-en=>Z z+x|8zTnlIzt)bhe7_A_}?)qCtni8Ocyl zM_1PbZ2-SO1aqU&gWpvnp)~Xrx{Q8*Mr86tUVGyjW!PkdI&Xp-tTZ5$V8yav@E4J! zXq%yIM!NxFlEmA-t;4A6ZNg-HU$*0ZLh>7jSU5(#>iN0@^-5z!IRf=q8S$=z3`}P7 z*~I~erVJ@1F_Zi~4uS;3O1xo^f@2DP|AS&O;uysH497+YCa{2ofCdfCw^_o4vb zQ3}k|hb!72t25*&g|gsZ15yN3G7dsdcX{W_saNRhtHw#Hgo#hv%~X76f3D^_kH=bn z1I+GXRG0Ax4->bJD>J4Ae@$=WAP~L#SIiNlngYf)TsLaF%3-BVe2aQ;Y?@k4Olo6Q z{rAg<-8ShSR(oNF$9z2A0EGikcu0O!$?d&hpol7X0o48G z!Qc+Qftybim>`)b_#y)>i4bL!L6IzQ{QoAfOLI!m%Jg>V_LFsYpCwtDR$mmqz0c&A zkx)pvBr4~T%Gz|je@o0Mn#O2NAJYkEzOBfVZ!2Zwat%fbuSm2?vKc{{42YohU zXU!1C{C+`f$upm!J}gYp1Kl`Idc297aXK8ZJJTa(9}!Pe-`LLd^|7<>5vuz(I*pem zboKh^1#D+;>ADF;=O;-n_+7uCT(}wh^8@cSGBX?ne?yh|u~{u>^a63Mf@W3F zVeF~Z{e9iADXttA@p(8cJx~Umj_Xc`>`p|Z#kU9{=4G{2m^z|aw0Vnr|Ll3X| zrH<;C!UhOvueXa^ul6W+@JJi*kX`Utz8%;joEX}0qU#SQ`tRowQhy|E!&!Hyjkyhn zcfFZNfYal7;c&;7m~DSJ{P4cS%pC}50|fC~-AMLEkUFjwST;bAcfGmxsZ5sA zsz0fYONZq9lS<(s94b40(&a3#9DDw{F;Z>OBKP6-}<|RqUQ>g+E?YgxJq+r zlTEfi(T?npbU&iY*&&-{hjXFIHW*H^3O*TcLlxmL3s>H6>#AjXn;oAAzR0@qAa}>Z zzrM>lm!YHq6SrhJGvf)Dfz<&if6ZB0bL2D-e$TJ)8=fjgYuWPkz{3)<5UAaPWH=Wp zrLmP6*V?l2u_63=T54&=_BbISP{l)H9j#U$U$ffh0ekDr5JAoaKBqYSI&HnW)sV;-}4^n@}gT&upO7ZAuoBWMs`{$B$uLJE4zYG)|8So z3{mkrwKq6T2}Kht6ph`AOVn47H$t?Aq?SlSS9jes5-Kw z`=w$Zf{&#AqJyy4ii#Jq!_Myt+k+THj{RGuo%Z`^y->UV(F>VdGF}109^19qtuHF^ zDK-l;WuT^6_|{0We;+_=)xXENtr08-a5W-7suYtnFaf&R0~po;jev=APdNzH4b2v6 zVk_{=o2D$3plW(+e61#Ofvz3O9w+p$v#m6Easjld1X(U*3V*}d*%+{xZe?W&(cgwp1001I+?xk`S zxDG=@ik6qk7c4Lk1oB;3Z+VGi6H}FhTM_+g2EOE70*qpwg|@0qZUy}QWh}~lo-K+BcKk6^VOTg zA7xDlCX&*4e~PxZm+vm$e*gC7^UKZE>&*ouZ`kEC{Dc#3^Y1JtADA?;vZyc_ zGk06`U>t#+R$w?58Z~N!XKmeeri~vAd~6@16*?!Wo@s#VUCai2TZ-vSH)Me8?shmv zB#a7^c(-NI>0BOS4g|0<2;uVq-LT}t7UFvx+@bMIf6;eGAL>RYAWHs$bGjbTl%X?r zqQ3!8!w~@x07kFg#N+j8N`g2B&U)dmUg*IeeU1ayaZFe=b)Ye{Ik*f$G0dQm>GANy z5J){piD3pKbBB#kYxQ}WVf<6WV36Gmowz9~$cJ$D+`!=tx%$*fG>S=-zQ{_Hg(QxH zN9|<%e-Ec4*veo4MS|JRV#1y(ycOfa2URdh!7R3lk-rf&3dYJNBns#hQCBNn>Ots% zupsBu@Y5nIf@RSZ@|)Gsy+-Kb_7PM(goopyq0^Hvl)!`@MB=+s7cSgNa9!?_h8fj*gGLW2ir8?MV?#oL@YCgpcHibuI7?7F2i ze?PAIRY*>2;IR=$W$phsP@jkvQ{VnqF$JZ0-HRKzqVS!lAXPjTTadMbguXa!vxq%A zwop!6tVxb_V0FlC|51$jlNfux9L9TmfZZh+9cz6oO7I$}vM`@8A0Oc+Qc&c3dq>LW z`&$j?rRYJS|7QsNGh;qA6g)yfDv$V}f1yW$h&nAyoD5!liBB~E$bdz@Mrq=cAO$l7 z2}unED6Cnw5|E0$j|OxXt1ha2R+bOd=E_D@}5oMP@)DFnt@CSMRO~ z5>0sd9w>7l8cQ+b#wbZxFb;D=Bd=U4#9QbUrf-)Xlf3GpT zuu)72jmH~3KbJ&oRswEJ5ctMex@m`?g2}OkHH|-uA)rLF6hhrW?P`hN$%(0Joz%VT zaSnsH#iDI)DrE(r8!gB!{>Y51ff66^tK)bnTY$ z1Cvq4umN?*g`?~1^d08#iZYVbf2OXiuBt&6*{EhbJ&hNx8sq1tXJw_OJK4ATNwDP= zW+s(PA2|mPd2M7oe0ou6H6b-YSL6}TXGd)M=303@uZ}0jlr(RTC$>9S>fj6I*~1C+ z0dws5RaBAKSCP?^)$zHd7k_h=r5>aX2)K#e&cDC<;qbhGNrF8X`=2bNf01$E!?paQ z@WYU%3#>v&q8^*DddVGlGribY%pa~MOK1K?Sr(11byV+sVc{2FojPE22~_{4Pfy*m zFUj9r{Cs`+%j`*q`Y(Od`H7(6*M3T8;-@~K>ra7GI(xy0dJj>rDPios#u`+3K(hQe;(%aODudjQutwn{jI(nLgO?BfNZ=~q<_u`Jo}hunNiD3 zOTLu+9t@y3bf5pmbM<<2{?*0i#8XO&f6Y_6;_neqqJIE+#&x=kJ3eJiHJbmV6EY|@ zp8Si>;;&Q8CzRS^hxy@U!3ZL3Jv|v;o&53f?BY*Q zhKleP_n;!C7~@*EUE!PO>vv4GcAx~sL}8jd)Y;e~COmYYSmPUmy?rswNg;&zpjw<^8n)yBd_URo6^3mL#O zA^?XF4hCyce^$-y`r33F>MYAw+0+?G+6LBvBVj_~W)@~)786sriD~6}TY%AUwRD4E zENuSzounPND1_q}RO<72J-_2dDNxMFW_p=|k{d;=Thi=$qsd0oS!KK;>zmY>(I{)d z7}1zR&~+j45XX_F0zAPrX*tzJViM~YiNmv*8`o|)e>2txYx`4g2O}-iTt`~Exovc9 zcjmgCub^XLbY_DOEMO1;sb9X)NqC)L2eX{(so7bs=Y`S9oon1?xee8I*6aAKhN#1( z9d?cy@<>46r=Rh~@8@ri#wW*Dr$?8kzn+}GAD?zeN~n4+LEq+?3tIpL>|r!4mu8yI z?wp^Yf9*q-QYsd~avA{EYp<9%j%P0=;kO&o77WhSS}&gXq$z& z3a2`AT@yd#GY1USM>_OOAqiK0)Xi35f4-`&bDO%JXB_yE%cyPLahH&XjEo}GEPdtG zDtdy22qb9}Ea#SYIUI65u>z>^Mr1m3hboJ3U9ooJ-6iXNq^yreVN-Z>XdTXY0&r0L zp^SH>m&>a}SGp%d5wH?R>Jho`+onEn5~t*F>YHm{(K+fHC{8^0H}-zZoJfD>f5g2x zkv%3ScFETR%$q~HF%(O&(G9AGN~f8tky-)q$~AlG4i_-0;z!b5vKHNInJ>(2iV4D$ z?5Fl@1;pONJ;fk%i6_-Fd$NN>aN+)H7Av?TtDS=Oy{S4(ygWU!P{Vt)8?L#BcBxBu zxL9;S(I261Y4F+&4NhneFPmgke;&&CFS>L4}laKv-mK5?p^x6HKm?^>_z`a zO1;c2U_1mHp620RiwT5~5aiJ&vqzFGrih6hliOswHq}+3vm{^aWgFC=e*|~x&nFWl zW{5mM6Q{%h@SaY9pGV4nf24dx{BJT`+vD52KtDUAgfJE_eH2jvp}iX?ZFWpoMFDs6 zEBg`h2AGm2eFR~ykp9swXo@1TdqHbh*A&BN$6c>915^LauV{aFw*_)@EOkE~L&vR) zCI4A+&&BTA|1pt0aL@bxM09`TEl=L3{?S9W9iTo`vr0@P2COD$eqyG!L|K}cv z;1Ev4pCC~8P&6DxJPz9*tpY9xVyel7gtJ|({smRu)t8~UG8C66QZqw;O>^5e5WVYH zus3QZg7HNHJUU6;Cez8JwoXkl9*Tr4jwR70DQooayZE3)(zGnea;DQ>Oc(?fyNkE) z0TlM`Jna28ct0LoTyf$>C<P0t#3Jdf&8UUPLkZ8hcQg2NfL%p zQ72G%vl}`hf+NWz-GGoC6v`?FAi#dX64N4I+=An^)1oUguEq5JGa51U310l16^M-k`N%7;S5l}2VL0fgoOxdT_$ z);6M8YRC!LEW~B#6=3{H=e1s~9ULH+Z8LEF_FvoZi9HbW5rUPep`|3kdAuP1KU zs#K|MRk?l6^Ej;yD{b;I%U9)Gw>GvvF_t?TTB77j2uW9A#i4Mi@|Eo7E@*r4==kpes=s5NSNi@i6qdD zw(I|X`Pb$3$6xy5mq-x4qb(he0gG{?ux_kMw{kVhO50`j8lHD%ua~OO-WmMRFhD^- z+W3hrGU}o>|1`re9g{|TYSve2w!EvEeVOJ9+fL(uDy~6ipDt0b&&CGqb(dIM=^1Td z(%{usE6}T`Dng;;ComOdy-fAa=A~DuV9#lIkW_(ru~mm`YHe2ir2%6(J6=n7d$~3s z-?E7<2HiE!()}_?L6dMGUXaOYHdlQr$sqGbsbpMfkj#2)!TMo%x;9D<)5SB=$f&6z z#?B;vjm)fdJm7W`*HK1r&?uwvF}5AwG2?TkMT^#=1-(YJV2~3n=rMExPbXUNu2-~R z#7?wyLYA)4FI7EVqcF~?qw9c+saW(6&NFH?M3cS~HWqETopF2v_7Hq-h zyTUb%cD7yxBLc@>8J!)|c&nB%jLH;s21YO+yz~eR?|w?UD%6$%FQ; zRQYp6%R&?qdH~UqLzz~d%(QR=4IGqF zyv|H1>XtjkRnD3-OZ(1u(Az#3>G5v8G%N4TWnMVu(>^TiK#mP4%=mR?N?*TC5>=Le zO|MCPh!ZtCH@wwEiQ5c0ao~w3bJeDkR3+B^&4asa$2IpP`C_qJW(g>8zN`;)+`zjH z$!%WU)dqO`y?eDgfo>0lNn9u(IEUM8%WpOyXf0GV%hQwDo4uO7gY&a@`Jj?)y4fCt z*E3agcB0AWdlS5mV>$5MmSWFyBpwfc^}*fj;HvhgOG;Y|cB!Z(QZ+roG;432m2T3N z9udz=wV$lucFuvYTjAZQ>h__?9-;DX@71PRDu8HZW zlu{J%qf@Fsj?y+@wSvEZ*yFD=KjWhx4q$%gX7h(V>+7T)h1%VjeaCW*lhnU}{r7)w z3U-`K^}7$>FMTQjmjnLOh2craEp@PG*|(7eTV;Eh>xnG9c$8gD z_7<2{U(8=oU=(3NUn;PD%=K1SWkt_cRyKtvmetXuErEaO`HLw;lK)qVsryS|z~Q2L z@DGK(KYDVC2yu`hoa+xqoHPH!EPgP*Qo1XFkUqh1VF-ETUw-{m|G+tQ@AW6?M0I`r z2fhIRm*Fxp6qn0bGu3|vWVk)6ZPf21Ul#9Yhl|(G%u=z%GmwWSmdT_5%Eyl&OUz_F(<&9?@MkIhSXG9 z!byDTyxHoP4}NS@xDkJ)L{z-vFRfV}($ZcBzRf8WpcG!w2O)xyLnNn;HZoM=jU;hi zoF~Euy&hkuRlX_0KlkJKP+hua{?ZjyUfm^H#_)(kigz5M1{6{|ry4A0!Jm%_u98=~>>2n$k)djgpZ5Ed<#=H-OT7!3rRn{YjArnnAc zZW+eXawO7}Yv4+@tDW72yeUWgVwm&nyI(MX+#_wym;h>QpSI(qE?7_5&9)eF)?2H` znGCPL%dan89oKjW{;IZIOyJZOl&1TJNozmb>}aJb%}akbMAs*M1l=DsguBCSU2d4a zBZ)ykqh2(g<>$i`M@oHhrbX6_EH|zxmtxa!b-7u!>Chz8&Dko?!hN98*ZD}D46=G| z4KOxrf@olv!MAZtDI(tzFFxoI|ZrM%CA4s=E3hk71XFt}kzJM>?t^zI?NTYUEHol{PW0Rn`9Vpw&1pMQ}eQOjF z_I+I1rz1KDHe2oN(;FWZGY(Aip~!Z_(aC>TUv&AZd}NbgzN2CP>P;emM;WPQ z#_eglDD#VAM2Rq}R?!{yigwD{m1TO72+WePt%n0A^7*=^L2BEVwG(vwQ8_yc%>2}S zXkc!v4!8wM_=J=-q%%+YsD%0GPD>YKV6@$PQ)VqN!S>pF`hchSrkj8xDF~(ajm8+z zO#go`9uLIhYr4vp-rU2A7Zi-(PY{r@32)scjTHkPlElu<*sIDBB^V>a`g-(NVv+8^ zM>z;u*-zcgc`rEKBJEW4Yd2b*w55GZ7`L9G&y13(+`u=K9mdf;=U6=;YA9zReFMkS zCu#*$?m^Xh%*+|aTT<0mwI`ADnp(d*IUH!H*!wx0QCn;8KD*ULf*^u)-L(35K3!XR zIbfshNUPBFllV3-o4H564fcn>M>ez8v;Y1FhT(TtJWMgQ^JmwG-;vP-o{cDNYK0PD zkj#~^@$YRDhRa6+_icXvwUY`t^y(X+(q)O4fn77_e}qKY+qscp#!P;n{dVzTcJYr3 z26|DCSv@2PXy7I5iyyyJFNOBsJW50qdiSQ++LJnj>&p9f@wW@=Y-+EBfk~8bEpU`( z-WFaQG~VpJz$6h;^TrJ{AW{a_4GcOPC7(Zw_hYIk_5YGpbza1EUKXPQ-+l~vCmz(B z3QAO{f2|(LDy}LV_x5AZ?IsuzFpj#QU4J9CQ%@GBB;swSfg%HeDj+J5P2ZnXQP+uf zI}t9LE_s?2bzVQ%ZX)c?8<8*!n~rZr1W6Kwtm%;E+lc^#C41F+;)EhP(2t30?W`X* z*@zUTiHgowD&A~vi+DXINiw3SJX@bX#nlFEe;bV=08s0W)7d!YTB!8&m~tHwrXp_& zK#rueQZ6S`7DxvibGxgu)ZW!4UX`C_RkAh9I)_DRUS&nPDf6OsWAegYV|%%oaOGz$ zEuf6+3B#uQiBL!hWCFMi5M#v!QbHvR-!81RJ(lTxWJbxvTF$ zf4@6)5H1qNNTigDRe>TR4HG5h8atV%)+E#o=ASK5RurK510|uifsMJl&sQsZmu3mT z+{s?p!CB#4_prgi$a!FQtHS*&VgE@;w`cPl5V-dLnG5JR=B7>vc zicoz}hjayNLRD}~@TZ$E-@lH^6+}{TIv$)bEsjaH%Y=uNP^$XN0lQ4DYm^#?yM zSWxRgsr`J2pDM_pj1qFdhRS9}xb)Idv#zlw%NGyrv(0q4ZZ{iygOi;$NpTX=m)O)V z4bQWwu&iTMEQ{&Cvu){~e|GD6=GX`5{J~DUyX0Cu4f-^ z{(kwn=Ux_4`fC1#LOEZXO}@!eb!Jgw6P+`EZpuC|Cv%?JmNodP0n($cZXD-ES6NaP zY4rrJIK)*rYhs;{{c8i&c83dk92ZH#q&{OG%U*vY`$ya}o>}(dev1IzPu-b;#iETS z*1WrIQJpIEV0Rq}f8Ea-NWXfFf;5)w1um@i+0rlEEOut;!QFDVj*BU50aIK`w#|6g z+!+Gs4je3GM4lF%l1#gjOw)awTx;rX#3J`jR{gn~bX-UdkMpR6Gg&L*W3dI3>J z5r1_^aqyaeeeP?s(y_e1k8zW+;&h8=7V`#v7_K2uAeBA>o}u*ds;oh4yRmkf?6>kS zhuRNkbQDyHwPVlUHRgFy$DiZSWZ1#-nI!;jb zG6RY)YIA&Uoa@_tPLiE62RCAYK7VP|@!ctLl7c&3+INpFB z-_EyXoF=jD;zs07VA)KKT#lo*8LT?+uH7vauG@YW)r1tkJt!so%gttW;*{T~!mLSOYoFJn6)ng#?~o;lLXNNvJzscEn>WKkGQ;5VF8J z`yzS%V3*j~;R@#@)Ghi?m6S%GN6u~q5RG_&*+4x&JC1Tjv<>h~UL76rBz1zNLjg4#2$)Nf!+MIQB<0G=S1cCg zL)*;P)#k3jV|@6ehVMv4@?5}zX7#_uOvJ7ov+rQ92yRtSWd$MDXtFm2{ zZUXddXIVDp%+;o7tL?@PI_20O)n>81uQs>#7wXIQ>eB6E7ih>ca!5XqgK8o{bu$WT z=1z)lQ#fo<7xyKu+m>~I6RbEgDg~o*2`f;NXIWrWtMx!{A|;d#w9dd6dB&2@HxU!j z+&G(?d{ytt$cju5!MuZj;v?%Y;=SWjv2LQvNJ2B#%>v|brNBB>gXg2kJ=ko!v}bL~ zwy`~LN}OU$iKBa_#HZerIK!I~&HPSh>=s+DzN$0Gp*9LA|m+j8__olH=dk0sve`nvp zVQ^ty&0vvDyGE=&IhACTs9eRp>Q(+f^7ol{*MY-dOie&VXW!MEvA3(|y#0`4a-w=y zkK2NRgFo+@n&iTNFSINl%FWWo0S%D`HK~V6*IiW6F{SHaRMA0Hf%#6WdS9#P0$L~4 zO~1o_9G*!*Adp7PW*3PF#~ESD!}r02owkdPl{2>Wap;VE+(z1%UNgd(dWnyF$dhA1 zZpI)!q^S!11_FV}Tl2DMi|cjOtjgtlQLNY3#o{h@EbwZ7sb7=J++tInCb0!M2g0D= zp2MUi96=7dKp2*{m9;wtf18SIbFAqcYOD&aw<_%KtO}!rZ2(!Y!J`e^5Yma@ z@k};=bJW;Q*q57o3{ez9i+zMXfOVibg3BCuhEgxUV@Uu+oe+ZVK9t6Ri$${-b zfY#-rtp3K}+pTns;y?X=BNS4!&>=!;P7$Hl^jf8VIWq{w(GH>5w@!;agHY@oM<{p^ zJ&4d{(H59zQ*Nw+!=+K?0odxCD3uLiiwC%N2kESiXE#>25Y07FV~8F!-=6K8Bfj%S zc-GtP%^c_kP>uGP{3#?6*{_3B$%&NoS)6jKFsuT4kKzue+~JfDaEdc6PW`Kf`fP7( z*T55hCs}y%eG+U}fw(Xgwiq3pVGMYD)Byhu&(xPbF+_tttOH3a_1SuDh}k1b?|fvj z-L&;~?P!{zZN`P_y+E{a5yg;8FDe|*t<ygZW_faDNEOWK zq%^ykk^7xpwtLt6nthD*gzdOpuBPa6X3DO#FBwOKN1t#{tnA#brfwI$g7QcTvsHJ0 zmeA839)jIY!-(u8H-u`v4l#o$$5U`_Pl1qY4{hSl{elgAeHQNY_H@v+b!OZ>l1+Atkb>_v6J~0MeYWoQJ~Neb2spiIq$#;TeDKc1NrHxKL-`zC~q9iOTZ+ zYNCrAkxhUSN%6K#1WCEy#H4%J1weReb;5xji(HJUVl-_Cut5ZHHD-%Jqz0#dGqCeC zZ$Qn$!=;kY)XU{UjpjDOXx7&>FlOoP7vm;|LDNADx}I9VRJ$~H zWC(lKtaw99AuDE~CLrj&KE%~X4dIj>;hnF5{jAIQt#w8+mcN4TK44G-dKlBEBC-eA zX=EX=p!OEDc55CWDwXrwsQ{CIZAu?EF}aOCtwfB+p4-h&D3CpZTRi>-So?}B?)&^4 zLscB{IU|t5Ucb7LX*pk4))9|=98wvC4Eg2^ASjrQ($`e*BRt(lytmKleeOJ}uIMFyNIg(JQozwA z|4`}~2bi;WNL_pAAZ&`ZOAjNI08QW{j!!9&voq7O;r#;+n+pi+_!|iP;jSRCYwsYi zcZ}i#`6NtcA=GDG$Op&Ibr|T5g#lX>*sudcQ8AUcTMX<*!vDNlx`*cG-2bvu@3+>R zm?PjB1mdcF!u<{}=<-Q_ai|*MA|HwiZs8=g!-1s6S7dkNiD_5MQa}~esz~$V5D$!3j4Ej8@I!@+o|jxhc&*73vkHH@_CqZpsEP( z2ZKXKKDkSh_TYTc$CJ~p4(K-Uf*dJCjv+7yY>4+j5TpKM?A*|Q<@u_5CP6)kg`c1W(k*7mt%rq;MHO{*4hlT@yt|-%{hdeEEEN^}GlK3tN4F zjzYrY`Egke?xKNG>meV-Z44jv6|)%{2Y<)lwXsnkW{?qjKiqEI$L4{zj=niX?ia?% z$i}CiDHr~Vsq|@oz1oa2Rn9tHo7uX$EA1%>OEvz}5PstYIxHtO9D8r@;`fuu53>!r%;zrfhjNA_ zkVA#!ljo{vR^{kQZ~X5}nE;r*_`jL<|2+$q0C6dG*MCqORdPUr6nQdmapQ|3qlEVa zlnOOI*#7`E6^0;}aZ584mpO1V7ni{b0x6eya5Ip9iHMKQTfK&!v--IH@#1QI@y7)N zxv0ZX4v&O1bkg$T_m9-cp#MjQ5)ntvUXLmrFNok-IPWfgxu8ZTI@T=^Bi)z?iRb%H z$S9#AGzunpD_bpqo%#G4b{|a(NGt;9k}(p)Y(4AOr0C^U)6|Wc`TSZ$qX|C99ec2p z9-7~Ofx13*lWHZXd%!=n>Fl-=8*RVa6}imJ*k;9i_fucWs%veR8JX*KKCd{~t;jPn z6FnM>4l;8BwuVLsN*JGJvXP(iwv)}Kk$?2E?Kaz_$_i|$&p{`@&J>?IR_;ERw!!y~ch;VQ3C9c3|4$(jovpq~;2 zbkwi0(<%#1@`dfE_=!j$n6V|t&EKxL@=a1owU6Haj6as31lHOvfQSpgDnD9^`zZX{ zB1X^Ct-F<{!f=MDv#R6J8lSgjMm8~|Jb~847?&P|Vl+e6%}S>RWr@D2=BAkFw`7Wc zwQ@o&zKFc3in2;>3%O~M zF5SwmUBGx4=`8bzfN8WEU8({b^i&G8n=?@a35Jfb@z^M0K8g4&imtR?U`oLQS81oj z&>HHVx>8Rc{h&HBgR8tsy1cFkC9?MBG4W*onYx6p{P?XOf(qjTbp7n_+^zMIu5(e23D%( z;sQ4P+TqnOeuwyK?P4{Nus_v^)wq($Mc7#gp zy}bGaCZW;X_RyoA0QsCN`Bld;!`PT>GJMm@w2|onS09Gy zMdoJ2;Z=t%Wl;UxCLPW`HK^bMYysPSR^kA%7W}-|h~uy^uB}>i-?dtHE@C7XvjB=H z3A~}E{ghYtOBtAwm=7@u02ji4re9dLGUh0g0ymxF_;k-WBEUJmu%#f!tYFNc9NTWo zK{;?vDzjeG0r;B~jZCrw3biwtZtSnJ#esQe8rrveH0C9TExA86soZU4DI2XA$`iDw8SdSz<7Q^S`7`MOij%EVwE4+Knm)O_Sg;$c`rShmacW@^r!^ zz7=7zrFZiHa|$W(x&Kvv%*pC+Ed7BBuaMJM2-MoL?~{a`C0$}O^?AY+0hWc zND3y)<3S@$rOf_4dURJe=~;dh2@*uXm>)fw!32ziK|CceNIiHQ&3ptMAQVPxDoq}R zPF=_K%Ylusp}xlpHBxn&aD`Siiy7!jz_L5D`YDNsZLL$SUYlBfNnzjJCbsK!1~TT| z;Y+OMG!2cp%#o7Z_Cv<797}MMQ&;kVqiiF)B(Lm@vkXTOv-23^ zpgaqw7;9y>v74L&8ly3Zjy3n|n7GjKsA>}~zd+3wwhCgxV*lxkJm5rl!4%N%3~snF zZ470tFK-cT9PJ)|t8#`&%~;92UsgiSWx0|NI~Yjk0a zb`6OtEff19RY0ej;}^j1%dA^LJuQ#?;ed?9M+898b?6^w;D>sTXtn2rBXbyoduQQM zLWop`Cwfo77kN0mERnSD^Jt9mQcLw|e`HVXx^N~)PNe_lq9-I^^ABzlh)eyTr1dS8 z>ZPPdR1Z^V#92;1SlSsF4@AWp`P$VZreuvtZHT*JVJ){3BtI_ZuB;T$QoI7AO+8bS zuK{p6>zbN{Xs=zl1}0;=$)F{ir!8{u31vUr`2{$lP8R4~)!`fs#Dce8NzzIVj~uy(Z($!l?A-u32)j5Wwxm@js3cu1@d6%biI?G2qu3}T zi6?~wVYtYpc>HW5L0{@o&e~bzCPP!*ya2j65LN9FC;(V9CO<6e9`5jNGjl$Lr}Q)K z`L;jO+5Yhq53|_&GlxJgpi^ZQrw)n#F!H)C@ua3j;qmOltJv7p{?d0^T5p!s)FVw_*=jDAx_wV)l_cdCO<<(0D>W!q|md3-F%d$2?`0( zl6?>wB&k#{=M1FGXg99_=;Os~5TfY;pfwW~c`SDHex2!4c0r&|Fs+ZhBNCBeJGc7{ zXcC8nfo2pvXE+C8SgkL{daPN%6@`UUm&3fjy10AL69YtV+X*5*G=#{{r3lO03Jzzo zINibg^!yRJiWPBrY1u)X1Reoodf9*G)V?A|06i*RBaPB~5jHmjf4V2t@K84aG)|8s zZVaWE5=?HEjL8Msa2}VQn7w2~BQS@)l_xYp)oBWra5` zRq->e^u8}}ljv^|+Hh#cC8nGV)5!CpIADVoPYv3+ZLJqB{}k}aZ5(F-k>d?J$y}mOE zcQuD|-$_@gwWf9j4sq-R%(DAycy-Yijw$eg=1{j&-S~7*B-Mmn?^@JV1f=rx<`vE` z?_ag873zY#TtLH^%wIQ<)&{%!2f8?{N@nNkxVb)V@S~F&-kaC5uQ6!mr19?F7aPC; z7-|-2nOV1Mohr+&#Mo4u>cEo8FywC==UO;?OZ|EQPOdLo9+G3Lg7( zxXSKp0uKPL;)y+$)LxKno?>agzcOa4TRvdb^?OA&fj(9WWLVSggzV#o=$mM5-cENb z`%EZ6IT~YPvsQ~qV`A$F69BqkSoA0HM=xyG_@4mv`;Rw3vE&JZzupUmtRa~DMOGd3 zgVSZz(rR)AIS81AZ`rZ{MhoEjHlOMa3@a+ee~4Y3LnSW5##W1ez>wWcF!VLfKd!9Td<}|Zb{xQ#A`ZdgW8QR z`=AkZ?$e`dYd^}AY~=z<#oI9DZX76n@o$7LZ5eQ&5!KMnwVgJ|vcf+{o2|OOWl$97 zkV;gI@HYK&vv!fVur^kT4(7EN#>vN-pdog@k?0OMumHWPC5zIiDB#(+VBZf9yr;>? z>Ei46le@x2O+yo7H9W2k7NOu>wa&V%667@E&5y>d6UmY`hYCb(mGb+bp6k>w;O!u| zu>pt#HySJayJr#QiRo9IWJIHJgqu>=R84=*$sM!f5JL%iu6y1d#%P!0)5IuDYRP73 z1V-2NQeW_4t-23n%Yi8_yJ(({6BkTJR!y&jTuiBya4$P9cXp-xQS*aaXaZx2F*tOePp?NUdM`_Qb zf=#Hmbc_jx>LbXACedgt@qsF9t$?Y@F(QB-lu8~PMHb;Kh}pNvy|a-_i3QW#?^KW5eJn*T{5&n+ly$#W@|f8dZ)24_RENxzIby1<@U4~F z_Q0&kQr{zWE*+TeXV#GBo&|KxPP4Zg_lH<7Wfu1=nI4;7A%JoCG71VGcp-bncrf4A zv4dY*EO)n`9Q{gvx){Xm0%`M>;dG|nf#5R()Y}6hE1~+QKg|*t%^Ae*#u3gy*6Oc8 z42kRFb1(@jtzpG$|!>N?XJ(s{6$j_!A_gJsIzxXITc1!epp>apBMxs+= zFic>@JVq05m@xTQb7APi!*CLw>ezXM8^}X`wx--*G5HR3Y9Bk_TS#bn7b_qK5aAmI zIYA>Xo@(+_f?*MV^L{PJE44`^a;H6W=2Hll-hK=W-L zb>eD}6=o46Ob2RjvClO|HA2cDUpH`H2W~}y1sFnS7gwinTgb)L>lX@ja2j~=0iv4B z8Fml699(P5y)s~CtgFQ?^0^vFZ=kez$r@gr`2-f^4B? z9eZ{7(vnL5;?_X>;Bbr@Pi*3zG=Dh!6agCzIl)cL74iIl7%p-wg)^G%lct5p9ZG=i zw4A=*%g0e}U74xL1ALahWx7$UCZ(c6^50of*ru+j&oTQ<9i^kM*W<^fZ5y!uhR9+{ z#s)D3$8K&V1OVc|ahj#p6%R$%r+Jf&sdDS}nO9JeqtC zW2i7>RDCM>o}qlEZ$`*JLCJdgMz1~VrA=|8`X|lSMSoO$IZ^5_n!r=La&JR1ee6GG z-_7p#l|%QH(1#!G^0d0u9hi!Ov|tn#6>dvS{VNsodmUm|4Gwx&vRc1lN^zh{4%42% zs}`Yg1_77yB@aKNPzNhEyy}E;?SN?NJMmnn3u$D)F#>_~11e{qbgmlLkNm0%-X?hI zxcy7T&AZuQfi_aeo%L9{!^}k(+u>Ht>nGt!{&s6!w#V!(iFNlNh^F!_etGo8Tw8xO zZxW#E+}}*Crj8fd8tcLqeWP4#c$}v`HLyJ}RKTWPCo4+JxNpl{Tx~-v?G;JS(*;{fxefISL7VJiQw#+KK z6p^l?;35*_YQ89I{K85tR~H7qporV&5Bqx&Apilp7^wT*!Vv5`Y+UArJ1J`;ezng$ zPfF=T;3JL$3v-bZ_s8ykXumf78W)9izrfKXASO~lL%w&~U@`xbE|MDX8|)|GNb~=L zyqYDY&@H9sDT!+qd$tT^bM{l53o|REIDvGLl#=89O((oR#&6|_ebxnGWHdn(4Z0p* zBgw*8)M!8cx3kyFGx)KBq@s+A(^qyhUJ}XiLJdlh64DMmu^Y2gvl=to_?zXho9v#rFE2d9y!Ult34fJr`hMpW34&% zia8*g$sk6IE+QUT(g;r+czn5)%c?c-Qj1DKDqG;qV~sAEE!Y`TGwsJy_-XL18qA7B ztAVVUr>oc-4(gxa!;nEEVf$`s^~OX0X$%cs*vG~Ue9 z7+&U=zj}BO9e|!Mm=gj~4tGO1zNoTv*jm88bI33d>Ek{x2EInLNrKYLNshls+BdvU zH^pRHsy)$#n+QV5fzF2)LV~1Aot5dwFhL`K~(K{gk>#lKDTS&zD;=Pv#s*l z1L_h%&p$gJC`7~Mt;yh^-Nz#kEMoxNIZy`j1%&O5z2Wp%(^{M+(@ zZq>4ROe>g(h+VUNV%a+D!Lz2?5&m=sWISf}m2k&2Y|8u+h-kHNZFRvs9&c?{rD%o;AgR3wI!?Bxi6wHSI-S`m4`^wV(Xw6Bn)!HblX$c6X;1- z^}`qi1UGex2}Q7|e3k@anGJ@XJ9(I;ztJTy=&mIb?wbrX;Gio8)%i$G)sQW*QUxR%1Z(3i!$9e zR79x~&1=|p1Kd!Dekx9|SjT@+G}d99f|X5gmF#I9>#!{y`0n2s>HYH?ZncL+{FBo; z3P3d(PwTL@RHMV7bPgr!-Eu zjxsQaw5xSzu!xuqEEy-xNI&`S5W=KRaQI}hCBFOXB-_@tzQ{3rf(h&#>Co7blxvIQ zJnN%kS!pn?AUc6uQ81!0gnG=q-uvjZD`1n8aeB?gg#2HzCU&mO2T&LZcL-7AsE7(; z0qYKS{>C@6)J*p|>Dw+mO&+@p3Kb5Se1@I0>!yC3=H_*(mOgq_IAz;8_P2b>73S_VD&S|yqQc*`sdX942J#B|+M7tXCD?viCuJWxlJc@rr%9Y7GF0V^;EYy8v_NDw z{f*k$&p%p&i1D&_A$wbK1z)WbS8j}F8XV@63Az?7Df1fYmfE&~;5RTQ@UG+8Sxi$~ zYj*7$OM3I!7-T46;aV$N(`;lnsu5As>+I{6D?Onebv%dj+=%7Q{hv3DY@UKnXV1?x z@2a18q_S3IQ)fut@;}WCWdUI&mT5a(CsBmXPq2QW>or1QzNK@kjd;=7#&=2ZqJYJocmFJgj@KYk zrQl<(sAibsfY;(fl*Ctj+a(GhGE2!@@=x*O*3`M7M`Dy}O~TZCBZoD~p5MWSFlh;T zVEyhy%3Vv0h9RT4L@>zW(6|)5`L}gf!GG?%d5n7G!m(g~trkd8W@=v@y7-?nSKKea}N1dWwS zGJ1MHee7R8nhsInPV6)0&|OnWa!M8?iKeH~Ehp}je!C+}ZR@#hkUQ6SW#-SkUm%oq zKR6Hi0ZkP|WqU2zQ%9`&dppqY_Yvpzi)u&F1w^3I=|%fWGx?*FpBnETZuOjR_fbJQ z{lSR@sM3KZ4eQw-pW=*~!XL4T{^4}lyytqIk{G48TXJ?Ye*Ey0Ie~lI^A2^bbY{4d zski=+r1>AZn+(&v0C(57L3!*OZ1>2@Tn7GkKto$+Ph0!j-XPmwtnoAVhw$dO1J%pt z$6^U+>5wc_-tr!x}G~QMDRD+79|>Uh-2U#bR^KnM<^8iD<5?9Or-#8;^RzT$X#IPUYk5hzbECS_pro(knwS}$67fo9j08Ax5S8Jf zq=YRBjfWwP6Ml;;-}_~n(X6}(84RiU9ab@9!>E!>i}&ccv+hVuj3$xNrNXJ z3O~``bB7%#Xt4sit*xvNP`NUYTr0<#&}!a=h$r*pUe$DWBCMH|zV!eT*|rP_g<7&h zV#ldcT>$D#iF^16r5y}1@GRNcEat~LS!nqV?RlKNgS>&rR`HUIFnJm8Icd^~nYl-^ zW~>0^_O3uBI=D_Ea7AXsh=LU638$yj?6-FS4VBkAKZO`?Y1PN0 z^LYG->3LCkZ$UVNO6?vLDg}@$EPZQ;m*e{u5EkZc`Rx@A@riP&7}xxRV$CBoQruXo z@7ceu`M_FflI6qe>|PdabpPNvmS^zYc60=3xO76e!>S^erU=?XGJRRapD`==hxk6C zS&oZj_&&nDX~{nVVCO7b7I}?%9Ezik%7>3hVR1#E8EVr7{a9OrFdXVgBYV~Ws5Qt3jXyOWXl|+DsPG$u$QT&;CI+y>v||wfm;Gekl$tcbwBA{w8E?1R zSMj|}yD=uy6CBVJu!KtsykoGUTmpj-3>oMWR0_9A(dnD1Xwmc%@C)9W>s=PN(cfqB& z*t9;Bijmv^^Fy##bIJcuWJYEFaL<*F3h5-mGG6(MTJo0famLcvvr>k>?rZiX@c-@V z&u-sf?||Ra5#Bq$-WLGc^{SG+bFQNpyfmE51PJe_HaASi;>iVJBh^0J>;!Im|7j)?WLk=G4yW{jmY%jAeu2w9yFQi^zWDx{prlJq zhhJiqW>Zpb<9`qwUMDQ=s4mfpRH8$ds8=<{sFV|0th%uPj;$VrSbR&K-(Jw>@XUjk zIS+mSwCZDKBbv*khe(yIg`-7uVDz+M6|P%K&9Pee6-97ir)8Nv`G$z4c&C-MMk?%2 zY}}L=w>|5)IlS1%JO)JU!Xs~S*m8Zm$TE9?hQz;TmKXgv>?vdLlcQ$Ev5@GvJP{Rw z?yqW4JKJVL{^GftVv}hMzE-^O&~%1Kg0c9hWO^s2p+G_TDzFM{--Ig>6J$`s&B`W5 zFxEdGewB$xS|ceCjAJy+h`x&`H!MHJvMlZ&pBlO+JH6dqlg?Ui$SfUPeB?~{kd0FS zRtku6H)7^=Y(+?}YgtByJA7~NrZHsTQ?4{4k*%Yy3aZc#%4j8ELZ{P;1o|71Z-pBX zR4RbQn1-`$BAb!;c?RPZDy8N8H#Ax59d>;|$#vJJK#U%Wi3+}KaTJ|8a$Mbnb-b*( zEx&tUjpcpI-6k4(-H;)~qsd4^^_ed~_6=Hp9Sy0K&r)y7c$@za0mHw1Pr9 zuHsz5(u&Dc?M$m3l;%JS+4_P}P_C_G73&61?gRPK9jxPIXVdwA>w$TE95c{q)`<)4 zOw>Nie=`f_>>K_u}_jVS1YR<8vV|HxR}t+m&B)Z}6`w32sD4 zMg-uUpsE}rZ@4>NsF?D*PtI>cP@&TKD&Dg@igiQrxA{YD& z!7OS_{Q*-Z-~~^7(wjE{)u~3b&w`$TsQtf z3WxAr=VVVHMK3DLHniAvd+gT_F)_NR-q&8kuCFz8(4QM8<{H>UmW2{BJ2wBiKh+7O zYtNFP%f_fPbM*DszV_QWuKuplvZXxs#>Ko`|HlrI=CNRbaWE$46H^1WG>8oNkf%eUZ|rAF2V z6dKR_&Tia7$Nu_mL>0i3UCx==`SpCggP)|oK5rI13@?SC=;3wY`eI&^TeBnU*PG*$ z!^rr}49!m?NwO}@`LF?B!o|4$?uaIZEsF5;T49b2_0>n!P!dtHa*Dkn;cYuJ%1Xe! zvK9uzMSpIsJ((@AhBWS8>O7}Pi$!7NP5gS#q=5rWW_rEU`~v)#nMR*m;57~|r2!+5 z9M=T#I#+}g+_);Sf~w`>!7h2`1Q!qi)54KV9vH1nMKVYkx;RpqeQ_LD-Nd-B60uCr zS1DVy3#@G_Rf0EiIXOQ{>ThVLC)^Zf7spf|)?F&^bfR64?$zCDo<6781~!iGRog16 zzog{vDWT9m-vEAMy+pNM^=oEfn!0Igi4!pFcjyMz$Jlm)z1;H(QBqhD$Fc40fF>W|8T4-n3(~{Y`p$y(L@5Rv zBvPPd!l9L}!kbrHrdYb<+HdY>^Hl?qd@CCv2Nvgk04(5~nU^^9XgLA=6=B|>gf(6) zFcZ&XDED7pIXf9~WH5PDE-50!{Hk~upqI{|oNx?`ijNfD!-`uy{+4Ct+RJUvWhv&5 z-cs?w3(QtjXh!k_?zLJhbJjT-j-5mXrM|4A!QLAg%54EE`O9O_R` z2;&@-l2yP@m}&A$wb!>rV3Ga_&?5Upe9=>KwdeCQBp_gv-=?v_f=Ilrm~(FtfrcHB zZv^kAGR)4(7dqpk&@lS)zN!BUuX>vJMiINl&eouPHaI{OJmrhqr$rXN1Z&F4l-9ze z!41J>Bxz?wZf*=DA4A|b75MC-CcdB*hvpL9t2UtS8N(5w*`k&1n4xC^yU-Mz<;f%8 zqr3cseaCL#)$978h}Z~-kAX>I(khBi+O^#Z=BB3(Y#nCkZ2bCjwOpuMb%{a?LD{#2 zv9w8OHl}Rg#t)qlPrYXE-%?>8ao)162AnD&w6@q}m|2o+`0bEj;hW*YNqE3~VLuNV zB?!>Lnd!^&wpV_W3UF3chw<>nW>EO+bb(Z3tGH~bybNQM&3Jt5x0beZ`sw(Np9 zauLvI_b70^1BeHRCQohb_xGUm2cu_aQ33)9@MKf6^3*>oV7zX0soocgQ@#{T)m^Ra zBM355eLMMK6f)5AA0@$x5SV!Ren&`R-S7p{`uheb1?zSkuK}Y6nnSkXO=>t238>IY z^M{N1sU3XvmykYmj|N2#S~X$&I|oJcVFOIx)O0)Ii|G`#mVR%TpRBV-vBrW|Y5>fW z@ySkSYYS-BNv%Ijh{>lx23(VB=6jA(g_=9m2Y zg{bl^)$13BwNG(}h=R>dV==OLnddOA0|Nz>RI{^55h!RXbLwnKX6bS%;op}S&g-8a zK_CeTufD|YnwzOk62Lv%)8OTXa|g`2sPNx1gv4lGmf}a9UI2%cMAKLY=U3Kk5?4CU zLw@xiU-Uo_t-{m>J41>~D*aTP+i0+>vvKKHcr);)9@Nzzu{Hp4fpE`3LS$%M;;nPK z5@>l1(a_B~HW?*LAHx3hu0g*US;-&o1GL5NxSDh!dM61@b7tNu@L67HM~M&G&7@Om zL#le7UKj?QU8*u@iZ`4r!S3FQgHgcB$9zWb0%HmDgq#L6s4b!rAQYrcBJ{Ap#SUFS?r4BzM4UDO%Y3Vu*NGakv(Y`F~C z_hmO;oC$V(1iik@vCr4;1Q75Mw+73^$!p<2y4j=iZReO82)7g@H~tvE%&2XBr+o=> zzH_d`kOSq>6VUwxuEh5HscuE%y%fhL*_Mkt+(+aa*Y?^cesWoz!V|O@C|U~_3;Sf+UF_J&+_1^RNtnO>D#ck*Lyq;z~W&CvZXu`zYr?}_b&-QS#|{5J#Of%H1f!3b!?VdIrD zp-&B~C5pJ_mdU4+dgkML&8=2;8_%@KZlUKmYs)lr=Z+QiN%&^!0w-%}u+RLyXl@ez zykZrHDsWEK`RK=c>jUEQCjbdQo>SCpgiXXgX!5{jSgDZ5PakSEHm>Cg9aGn+eW&Jw z0!xfLW<=0qD-fKaAa=r`(9i@V2gHD!QY|TqgENQqS;a#Z8q}i#d|kv3#38YXRl`N; z@U)xWAG7GP`@10u$a`}rT`Xv7ghPNDQp~U7-}>6j2z7ijsY@$)P=Gt{NrO$spn5mn z`~^mEFMKmW{b7XD=1KpWgGv7o-Fe|jjmiR;RAZ$2MN`mwS*-_M(|^AHY!KdIf{n8H z4nv1Oc)c-feUSU)pDhzBNjHw;xjS?W!oi+!j^nTkkRDNw|FOEZ4GY{T{6WVT(o_7? zS~iG(ei^z<(jZK4=>ZVN``GLnMlM5-Tvv(Z>Doi8ZFe3a7RtqXlw~`#sR6NdQ>B60 zkLff?ivr&pIOr>`#sfhgmhgxkV)NR`DAGhpD57OB#3+|7H-utfxnOn~HRXFRi_O4R zVuk4-cvHBc9L@uPL_^^=1APJh!J6CRALRux64WJpYt@-K`2c}!%a6Ofh^uqt=i+4| z!lwHwp61WfZ7qOH?h?_KHFI%i%(*-YF)8wxe2q5cOa@InH!%mlN}=DZ8vcjY505Kx zX3ADxT69IMXhq=W+to)mIaOUo-=eBCO4%Kn zX=S8^TGliEo-P%AZ?(Efe*GO9V%gg5$#KgZ*OE84@Kkwhh?~QF(~p&y%_{$A(q5>N z(A05=e>cNxDgvYA{`Y*Lfx7+hFzfhoCR`A4{Ad^Si3z~?V`zLtaP}oWq?&rLi?^N# zt!@ord-fH|30LA(sMt{bRaCXe2+VmAAA3$mY#v#9B_tneap z&@MIMfeOgg@+Dpm?#d1duV4o*y_eTG;-xYrd9Y!!SCj|}Q{s=_q}_c@mJez3)Ugyi z)cm;qw+CWRE=pKBvyX`3fuQ72Wic_RL{H>5Z7HIUQW7FrLBA#)eY&R(#5q?0MlmCW zk$3QRsG81A=(t1HLsXjC@6CRc)(Ke&DNhWosqu)m^mTg^VgRG(w>0SdS4qq%sAqv+8$+6|tOZW2pZO&=Ie?!Iqhb zfAIX=DkIsq`8*qMsm?xpNfrm&>IR;ezn)xXgx`&b{hXA;u*$K?-AFbAV>FT~LbMyk zZo#N?&15OwL_h9LKOOSV)q9};GqN8eG-DTv(ij{n!MrS&`D@|Hh|gY)nw5Y9EL51c zPRGeg?s@FNcL(pgJlWFoBhl90=JJ2|HTT4X0nW_Gp2~E`NCVK6wBO{!`WC01QiJLu zxGVkPH=%qYjwW76#9_fH!Vj$~&<(647nkP!e7yr`hmFTt1!qfSftmBPJy<2}K zyeyU2z+9*ydDDZ>(OZ?}WjhD9RYx@H&@KUz*!v4EP?kmOTc#G1IjKI8WNx0F8c$V1 zTut!CB! zI_Eaz1o&OfWMGzu%b-$Jq?SFQ0i#)B(jQ&6Z+Oz&)NP@UW6qpHAuyF~{iw$~F*|na zgB$z~R34xx@Wo8udmf)zJ1aK+@JJ^rpaP`R!eoD#ut{Gv50B`^@4kKQsk!9a0Yp^SXHYUr?7Xbl9_6-oyPE%lKyWxo z1tYUvS#2x9SlrlDFNU{yE8z^5*TmIGw_i20Jr>}*l)**1lIeY{97bbI?HqPaQ8(?m zX;E`mw&#t3$snz6UKy&ktPR|&jktPis%&SnPqElCZIr^WQ;=fM(%(!Bw+JRGnjqD2DBxFNaUNVH*hM0MS5) zhf+3?VDh4ve;^*;{W0)t*uIW8C-5yf&cBB1oRFN5X*EbVE*RM}orEQv)l9K#Jq;0f(`m zKiWZl&?+{NVEO6G8&aP#nq!Vbc@yK0G(_ssuiUUD{=5T=%B79Du=E%XY*MzA?&>yDyW4R*`R3Z zuFlS_$?~Mt;t0<7r0R%6Ujzp>Z z_TK8H44y$;44ro%mz(Mws;v?&Y+ z<3HN!PBX9YSz31|w3kiQyXF+eiy_9LVc@x4Mqb5TM`V|l+JTiDyYZ357idMmNmun- z>AZSS7y22g@WMD!AI&`(K)4`L^&d2g-finpv$tZEhAWQLpVp}}+71c1ztHK8zPh!A zH6;7A4v#oosxzT=kCyg&+)~-58dEJ|7R&0*e~sn0c27G=e_WrSuYrOHB+vZg*ZA?$ zTx3VD^H8PV{lE~Ie;G$5TW^>^HoZ!qN5`nH+nL8_pL1zpx?Y_i0ANigK>^iTx0Cg6 zm1%$=D~A-%-01G?OlAx-%!7>El7%C@EE(ywyQs3U3*`!dnLV}Qf8(#LgnmIv`20)?+cUG@+S4JDRV%^#UahYYIOgH@rH;KYl zE8^F@*uc&6B4c`f42z($;7K1MlTqR<)vFjNUu+%y{`UccS26UZ2(8pjht@=2XZ1Id zi1TGA7pY9tZgZwa|NW}?mr6LI}e;a|%Nc1Veb9s^h3&qLd{D=b_XF zWzh5j?4?dMD2S|9;?G)-CA4&+X}Hs8@1;X8M=1?{n>m5U7C8ZQ@^69+ESm5_IQwHW ze1nLG~-Pj`si9H==*Cl@L01@kdxVMo_dxd%uxwQ69}#!UO& z?ILZDFOJZ2p*~rEWytC?1!qR3oS^zU0OV z*0Z_3<{(cU1WMFUmtrX8Wl>Xqdk$5QOb`JY4!KGOt|XGPDmt?U6$~1Gi`(Obi!X&> zr%XWep`@lB6KMC*WE_UuU+K%x=|TYzYy~|D4nLQcI!TXW28+!&1lw58&mAnq82isg zrY3_0=6zip9Cr-jt=v;V1C$vgcl&=X_g!OS zkR0Jh>Ll>&(n=vG2@nV~Bq2M`7EpJhg`D3>$fHl^J}|M^|-To+(^ZXnTjw47@-OKQgn2R?nO|)^8J8+w+3u!^~aFA;fIhsN@yYe4Q0M;M#K;IPp=y-_GoY=5Hb>; z9^iCZ_U)I>dz3Jp1%b78y-X7W z{>%dYxN6HW1e$p&73T(%Z|_Ma!G|*&p4SO3OZ;fSipceBz*;uJhXbG&K9@X#o=$|@ zh{AR&409p;NASH9#5OmNJZf|ZXe8lW{Wb8fb>|Bv?8gcQU&u0%fR9JF$HOUcT*gqK z_d;Kmkud2c$PCBDg@YSb%E@1qAZ6cSE+L(GltIX4FKz_vzbFFVpADlkV~BJifhrI1 z)cX9rn_a%`9Z1-QK*0N&r$&#KZwFHDRnO~lE+%0Y(7IWJKLok*@iF-x68Jj&Z}{Bm z{_fw^xs^e<-_6QW=d*o|4SxuHsi1kq{0kdGcl(gl3GNU7*p&;!CS3%&(@z(yE>s)Z z!)k>Vp{s$vqcyhmKES(~3%`$vx5u5xqr|DB&Lz`l@@~D)CcwKV@FDOugy##ZR<>CT z)R{!Ep1ao}I_FZ8em@KJxefZ$RO7SFvl(`^@usHk--p?Uuno`~dEb$MBt{RSN~F~3 zfLcO(f~{m(?gUCYLXRWrrxWO13l=U3G@{7u(#j7e{v0wPtjb1>W|$JhFfkbi8SNNe z%=q<~HYUviX8;!t5Bo0;DaGA190C)bZnCo2__Jly8jPPuZ=Cx>2eNz&wc;F@^ZWn>p4AK4QtXOcYZT$RG>LbcAeawfaPk538?1xzolY+vAaynE& zcy!L=f*?RjQ301SpaN!-fQTt;NXhJlI_fFJY)C<=UweXew(%E}EclpqVs{Y_7`sNz zRa*8VQY~SDl7(_^6VPy|!Yx%pwI^GHZELp7HeA)x+kB#KeAGlkCM>?fUFjztJD9N9 zL%LE_K}s#N4FnZYYa-gB^Y2D|L5HkaMbFru#GHVX1+Io@7!G&x9WnAfpNMRJQXOX- zoSqg`!>n|l{cOq4x#GN)VT{SLfKc4HKH)?@2FD)Z#|oBmKj>3BBU{QKDhB5%&BDDbIB4+8Rt5rxHsOZ@7T7bIRr-3@n^Cf z9<@Xz7r#?-nX?RwRSzI28zKQH!am3vFFO4gu+=ZOPiTeN!xcG^gO&HU)!Z>%I!4so z><;JDl)Tk4PZD%yX7+UKY^RPYK*@rG%5kcWI2nrMmZNHwft z#sp{O{J({qhIH(Io~%=9aJIqLqNgMX*|&2vCmGX%27%7-we#Ou)YY+3* zy{y&cVE>kWdAxw^>Y>UUhw>qI7c1quO%JPrM7r)GX#e}q)1Z2x?QF2sQ4&{^lZ z%mAiNv^MViGT$+Sco;x+^m0hrC8uF;{BA~38F*_&6{C|b#Q&CQJEjjbM(|I5W*FL| z6RxKq*plhwMKw^9;25TS@6wb5t6%D_*D8~cut2fY!m@P^RhuUxts8gOXj71m9YXA~T-OpvsaBl{=K-s~HC9Ikrp#ju2Qm|)>5NT!DqQnG&y2n=U;C#yU^uOKBt!70XW-HU>VepVQe}kc5#R(GYU^9N?* z)u{a7&a)Z1A8>3Th8@RwgUx8QZ3I*8s5O6?h8ci%Q6{C|I`)jQ3%4M>7U#h9k!fAq z=!XpAIh7*`Bh0juf_B0Gkur`tVPORs6Nbod(8j`_7u9qlEOsN?y$6vsCU*(gq`k`R zv`v4m5IK3#4TErW_=;CPJaS)p>VSVi#J+`iG4&3FM8f%Dn$i6i)gup|R47m)hWT2Q zpQA4o9L9*2XkW;K&H$wjq>oGPfI}U62Op=|uIzlimnTc*>~%f*UxC6#4-d)E4A;D6 z;rX`j!l&nf#Gg5(YL}~L78!a#6|#YRk4p*CX{5F`t4lYxJX**R`5pP(uCQx<1#BqJ zZ@S{Ihm5@EQH(59CvcfcJ_ z9*W>=m_Pa+mI$#V<$=5W2V5pnGN{~DOW173WP#64FuNyUQN)*2cIFID{&HFNn>WdD z4sAn_54vThpGgUXuI0d?t;#LKM4wE)m6ho0B#E+AwH(f)$4++kwf7`#YzM+r;_H<< zlzd_`o61W7|4U?dp50p)(3V#>6;&B_40?PFv~gs!%_|Gt$>5FxP4mV53wX5QRbUWFW`qWAJtNnfi{BMr8{=8F5&Vxzt z6m&iCJs$=hYF`et{P$5^fX+Zz@v5~9I7BNY9-}B0zfLpSodd}h;5mhGdF;8*tz%{D zg2@sK8Oay$qUc3~H~&l$^FH3|rm;x)6>D^99Pzf5mu9Cd!sHO^fky zo0hKEhm93cFLa110CP__=XXFK>p4QXIaoi|u$OVQBQWgbj!QB8{J*IUi0QpRG5ZGk z%e%AO!eHCU-HO8eqsr$)x=oX&G_%G}shB{j+pgv3*Bk2(X(NCAlGVpPb+<|=tFu}s z1%2|LbgY-Hg@CM^qiVc8%!lmYg4-@vCQ9EQ5eyOI2_BT=0db&Y8STrD)vH-CduXaZ zA6AZdVZVo+c0k8Ei^x1g3w7diqN5jcX}Ue@IAoI<$Tl2_OUydqQ6-Oqs(xs~dMtEX z;c9uo8X)!pOH_ko1gUE#La~Knz0456{-7)mE+_AqLn_#bdU;toO#)Vu(04gc9jMLq zoZthy`7KbY0CP;`y#j}p#An!ipGWAAqZ^gS*GYzfH+S519ZGrK!6_Q}awikWnxdV_ zl7QFz;pT+CPvTs9&W}r7+Wgd4C=V2cOa``_Z{5z*uH={>)BHEijhCi>_~gs zC#y}2$*nY34id%?4hH22Y(Z$TYlOa0V&R$YdUK?;2M;_l>M8A->@!0{>6IB+M^)m&!m%DlH*tk zE3#O*lrgp5FRC3nzZM3^;8L`6f`=Qs>f<|ar6au5)oQDUMCFAWyGn77D!Fbw`CjJn z-~wd>20!_wxr%3phMGWSlwNY*dT|>kI}B;Xt(8VK#VcTlR&Z{d2$)f5Ql9Atfc|~0 zm4>1%oEE}M0r6>L@_4ghKMzN^e5lsOcFE9Ypo2h2XsqiTSsK&?G!>_x%t{ePhQMIH zwWg&NkH>6brv-FQVNz&@bop!Tjf;8gR2_Q-4Z@Edf83>jSE0+MoY_w2P~P32`X3%2 zIEW~m8_`C|q^spGC_3Ge7CKsu2eUpB093IM^NI*WoDhc|sZ}xy!Hvc_b8wj|!UI3%VYkDEs3z>n zb2R+p{q(DXmW!YA>+6;*e#Xj!-%W>@cGz{5nrN_w9|Ah4=HBm2=~Bu;{91o|NQ^R3 z8S%GsqXgP0@Vk^_I4qMiY*VOs$=fcJe>>1I#G~LTZ1Uu+8%SOTc;De(q?wFeU%iWD zY+-1dUN%5q>TqvJ%|zt^$-~(R&z-mTfsQ8gpB=Z;mS`_FO@22dYmNOO)o)D16bag+ z2%c0S3Ak;X8PFueqN)&~hSfX7T0DeznnZA{0HYBLisJ@!Fd2z*qw0DNrWP{h=9Z`S zht_LKn4b5G{YxANNc>6)mXoSG75%AI2l65Xt1q3_B*%0<158+rXuBX>nDMZ5C;D1cdB`YEQ!-0IUmGdYR?)xiWd)9-HE6upUd@q3@Bi5V&ot`+~zm z@@rB3KIC_I5$>}!v#mDJ zrX!d0D1^Ym%oAUr){U`e4cS2#qlwbz8B#X~yT#OYXQw!%h5uqch%q6XSR1TC-&_{Y z-B8Q>;Fi=*Y^?b#Va=d_(;$S&l-^e{UN%k1WI(4;SN;|2qrfF*vkgT1Av2()&6|^2 zRr#*Aol9*3AgP8gD)N0EvJEA$^%zm(p(pp*01_jETim^R)te%ZP^lB zo1EE3B1&yUTBHKTJarq3gnqUlxSFT5hmBD>|j!Nux!4 z=~{D7xatU4Q^$&qvyKe%5Q`2=KR=fyjDaq=u^4Zni9r(^BC#2Hj6MeZMv!iEK0Eq$ z5s7QTNui7qP8f|@a|5UI4e*>(DX+V@$MqSoU>`B3F!-$gyPtxx{~Y@L*D=G${6APi zxiuDWfEb3)^M7_#qV$QZ0U#ayEDAwbRu`LszJ4Z1?qjcz{#oI`0z}M|7R;J$Clqq3IAyVxajIg43G_Ya2wTkA%>zxjp~9(# zOUsVzDf3DS!d-t#S9f-D_j>A_jX@dStT0JHdfK<#(e^KPpDH!3s>Z*)62!Z<$4_t_ zW}ble`Js;W2!48~e?g>s2Rl^54vAF^S62>=zPC9fk?Q&RSvpP8t;+T~qU@MGy81Or zPNHDS{h?B(u97H8jCJhpo0@+A85Z`zafoG(Ou>P%8U8wK>7i)PfR3c(KQEUeEM@^P zW_7c=Vsk$}{uSlc?VF7>AWL+fGp=YXpXgq`-oIl00a|#kbX@JjJ4Se#DMX^I(K^oI zVHBc=mQ4}w2-u6@P4=2fc~)wBGVDu!-d$WLE7=n55zHBm-5d<@R%YRsrk;#NDYuty z>a570NgwN#z%9{!NbkltrnJOZD4YP$)2Gz?DcINBe#>y!cU2V+8cy)gJ^TP?kVU-U z*twm)h6%adxW`x`j(u&W*{!L0&yjB1X+-|0c5e~IFp zZ+o?VE-!MoRGaB11MRr0ALH4^d|J{bC$mA_J9p2O9=G^4n1$zwK*zF+K^p-+Tc*J9 z9=kfcHqgv~ef__TcfJWb{kjUvI;pu{oQ{y@Jf*{yV`|6Ry?ZZbSU3Y_kd94-bfVGf~tinGLT0Ot0 zT4P|svBOc3!EQ_WiZ3EjU`_!+-goE8?=A^;D4xCV9N6?;vrH) z1PM~iX=T3+93{!&P^4$iUs#C9LgQ*O{FmT$>wlLm6swa?d%GD*1E}?n5KC&CtZ1r^ z4z_0n-F6W5UT{QYvjW6S8X`+8+GRR9 zK=(koVL*#$fQHPe0(>{o4*u@%S$-*INGus;`l6y~C8JGInk{;Oq)Dpdx)M2=kgf38 zslnSn%{|s{RLX%)wAr6%y^iG))6F*t2hvv=woI;^EPXfRRa*p6wUh^%)i#_NO3wl0p8c3R(Zb{|Lx*&B}2%haV}w> zM9t$VR7wj)0Qbqe6pgzK^qqHY^cfNX&aj5~h!6|)=hn8*+uUE{f!}jVRC_6F?ii-o z0tA4HD>_;j?Qj}9xN8SLe_{534aWLE#y!q1%?oXBcQoF1bp-A*QAi>c8>=ru(lU!N z@dk+K0@zk>)-djTV@bW@CCrt=B*j6-l$nVV9WK_K7v&mnE_TgQUhvMvf3dGHG%&VE zoG-`()qq*1+FhQD-O=s$`VNM@CcE6BsPH`4+_0^u_HwgLPxaWc`T~)8JKlGQ>$Gy- z;OQG39+H`#5Q@pENq^e%0XUr0X19E{q`xKdqfsLnewq$~!H@(v za}v?h`5zaXxErN2k_swl;jOYVy^DW0^wuK0qyDux>Ovkt)1-JY?qb?RM+KR(`NfDN zI$b{*+2OcMo(hZzi*Q|&?PAK0Vr(l@QoC9;Ui%x7^nTk#HDEcFd2uc0B<3igBIS*# z6W~@i+I*gTlaWWX*L?}G?fF!3*b=MeisHfhkOj&WTujZi@$w84VDW(qq@27bkWX++ z8=^^Y@4dC|>{ua#;dz@(AH={d6T>GPYprB|Q2>FaGhsn^ZdR6zA8mUt7=^Du%d+-z zIkJc~^O1+b1A+QQ`96xrhC6@wePA03 zTwFQ(19kUgIx7<-=&>mn3cOx%D$QZF;nSPB_Kyi)ufX`fgi-z)A2@y+`cIw@24>#G zM+WRN+LQl5TREB-*!<_tE*TmT43zyh%YPwPARNC_1ihH4Qvmb-sSJ>Q#)7r%FpSIZ z2lSwg0)`8so)X$aq6pE%@@VLF$y6zYBrn@;PlDlv=AzBJjUl>&hmLNpTlbSNfAx5O z(m*}kc)5BG1|#Ir=4Lu{-6LrVNzNpzkz!Kac%N-QB)KqrlE~K=U3n2omA@d}^|z(3 zhr!-6_NIJZl%qg*0V82wUGw~Au0HYAbFI>suwpRK+?m)orqeG^Z_l$e%LP5+KSCmc z+rpy&(y*eGN^$mDt_QjIwfwZxyNG1eMf15vh-AM$Z*%&VQ;zn zPwAA7XaPTYD0Y%Mv(QA^eI7YAP>?z(%BgemWCMt~Nd}LujM@4S$Q0vPqK%`7RAKKijt$I(>h2Pa z9~J3iy5WQXvShk9OMr!iv!PiOYBx4yBoqI51@L(p07`OJ{n~nmG5ROjuvq445Y;#^ zk%%%U*1Ud6YfF8IG(2evjmuJCIZw@(9D#xo(lo>?x3K(F_X5AQ?vV83XJ9ePdF>gQ z;P%BRv$tr8fh%^Mc|v$CQ;2iErQQ4N-YjKjD?r*}wv@yd*@w;M;rZ8U8*n9y_ySUd z-2Zn7;LCtiqPwNa5Z|bAKkxemIwn*o{nA*t%Kc?bTlc+6F;gy+f z?3VtUp!(6*Mg4T7GS7C~V2Foq%I)%H?*92rgrz*$p-E4R%d%~~XZxgkqMoPO3DMP% zK<(;cG8Ote} z{E^y?E$`=lLtLI^3A4Y|04dM%^1ClRpp!Y;%u(Ye!rR9juld{}+K>`c*V2`34aNT* za4wUFFAA00f#viL++AZ-#rrh4YzzzqTJcRLa8;24yjY7U5tG$$CIgRTh zQhaLWKxfMr!u5c{I%f+2O^IHLgqG;9G zUj0(9Om5)H@c?x`V0^G|sPHVW?38u9!IBGKKLGWFfAyREyofvkiX*n%cC_7G)USe6 zbOR(FvUJU*mQm>2$L^(a=@c7tt!4!#eck%4K(lz@Bwiw1iBTW~kSu&U0f$@u=ipxW zZO9zsT~M;!sOT{W4>WhJ`NJ93*W%-~)a3g#^vfkUZP%wJ+-MXu!juv)Ii1NnbZpRR z6Hc~m_+4U9wzZ%wG3fnGf69sJP?MtI`Nh6aK+yoa4H=0H{Z_cu>23YJE!>xv!Sgyj zFaQwt11tGCdlZIEhWB7yi335|L%t6SebfE~B|iNH`M=#aJL7-5Z%{_g|4c2Z0UBB{ z>#gWMIX}1x>`-i7Q42pl>H0J_Sh5T*`X>Vvtoos_#X1wUcsJKCZ$!IfDk}=t_@R6O zJ=!#sQK#>2;oXxzfdxH!UFmvVox5aX^2sw~>D!|5V(}%RX0+*;vo<_RsK_mcXSJNx zlu9)7FTC3J*1?1nU?UxGTYN7+xbb3=DYds}-S5uYeU>H#kAe%rNJX-X%OCyxku={l zpv{w|=WQAtxXoUq5)V(3wqWt;?1?2B2J4%=Kh(tHL4Rvaj7M^}J&aHH^B#sf%U-V~ z@yU4{C9%&lUOzCc@omwv<6Vc&G6^##LZy3^_I|Mo)(t@vA6vgQ}2K9?3{x|E`XWs~M66l%P$`>)qph(7!$rufQPUkAehAh+I7{Tocf2W+@8c{ zFO~K2rX3|zuIsj)i55*@h06(a0Q!<}js|2zY?a9D9`lhRyriLhow|uDDgI4VV%V3u zT*0$;M6pSxwU%S@@f2nURe&OT{7PS-w z7x_h1&-x~K92NHb*e#LZAj58YL7d>S2$fdyTTCVXUn;@?@41$krzojYA&V>5sxDxC z7M8KGv1~P)w3e@?e6S*|mOwP7bZL+D;cv@@XBCYx6f%og{u%@~H+d~J{mv!B%yRMZ zv)X(n;O;5^(l6IOusQaC#^87&rNLA5_94gV?cT2)r)GT~P6VeaoX z6S3rPi21IYwn_*Vex7El9_>0Ue2BxLKaBpQcqqhxbHTu0yd^^!e*zEdZVHZ9dJ}~M zM_F0t3>8#OI@BYf`k>_ro&YPax+}yWY=vb3_&)@rL*HKyO`>TD<=1z;V&!>IH<;d{ zfxds@(Z~hPs`gJcr0dOKP^!U*kws=GrEZ)IAX(bWmr@skXRu%o;h-;@iJ=#r=QAur z3r&y#it`9^5t}nb-n_*mqAwk+8ZHhjku`A06Ck{@34cgdk*}7`s!hfx!-j|I>_3TU zWLc|`_gXowTRFa&w7vM^c2wut)F!tUcb;kvckkE6=5)oV$yx82r7vIGxA40w-+_Nf zMke2O=mJ8sJm6H(m8a|C0SJ&WuGz(^vE^+5M1KUlm{gXWRK>kZ%V^3UhgtehaQT93 zuN^#tvpCtb;Xfcle(mXRhx@0`X*<&hw;fsaL%Sy-bI&k*_y8GU!!P>pf(?Sq!dF)} z4rUX|N6!|!U}K({!FBU}DRXW^47I<{(UK>Gi+qR$;s$?%%`+pKuA1Hy*>;M+$$m=! zx)fn0t_@k%*5bfGB<5fRo=%&HPQZ(|5tOJ!$z=M~o1ehEtv42?cKC3*f~SaO8}0l{ zB4$q8oT7~fWr?SL6uK6nEMTbEJ>2TQC-t0K%|@DD59&>{gSGoSf~QKuD0abXrPk6T&#h@1H{KEqpJ*7W84nBm_d6 zc_eeZ!Wcbl3Para*ErIoT{%DTlWdWrIbh7$QEP!9HTpb_qYBqU1X7Tt?Yb)fNO{6? zvEQWqX4LxFfH8K@d$H52wD&8s*lg(5;Bf?71S4m@L9$IyDbw67suqh4o$HA{{~t}otHyuM&ET)OHuLC{YU8$?Ov)IEHCU<)Au9NzKX94-}Oyd9y zk5w^aBr4v*X(m3gnnTfxs+_|i)Mgm#&JR^DSc2>ga$Fp?`ZCzIyrlta3PTv(jp1AVf$%y}h4Z@2M( zmGcXch(=c2g2%e5Q(1x63|6RkjXvmRrkqDi;yzsKV~y}aEaH!YA(tag?hxpjg&g$N zE&u^VG1-5@^N~cyn|WrxKrAaD4C1`e+T#&Ksg#5~mS*4ndyl@H)j6)*(w<-ef@K-= zH37N9{j5!xTeBd)^bO)hXQTLk3MisGCUg*H*8j4vQlySRU;sa!AEp601)hhQA+`?c zT0!>PDr&gZVk=VS!xN+VuXhAVjbyY%rshj}mwM}YNq10qgv}cz5&Kk3M4!Ft z@M03_l&9yuFM#&Ttyb1)cv_4=|3Xp=4VQJOtZF`i_xDojhg&OEF zLgyd=$Kp}e)fuiaa+;{`hn50diK>|Betk`%-qa}Jwgz4tQU6>GtPGZG$ka+A0X=)f z8jtvgD~6mYpNx+}jX3B*STN{DMN@gw#}?a zz{4Ao0wA6U<{}3LI*g!|fYVUR{j4AE_O#0iE@ySv0WYL@i_Y2Loor&+XTov(*;n7T zm@75qZ`a0_(eUFKBAmV>bGV=xDOkQb$?z%h>3Rk?>n}y6tNVPRYu)Lu&i3xt&&kV= z8stu>Kk}K4)3zBBHro1l(%3P`5CVTCo7?(4+W;FP8MqJ4GFlms3F6X$au8YhtI86C zs9So$)nrj$%9DNHsT6rqVNR@)UqMu9Z(rqLSYbS+@n4FEfHJA%$imSBVwqF|IVGaX z_=dSci93vam%+>76W+gh1LKj+@h{4+2IH3O7cl*^^w?-%wOG_pTi^Gg(6nrv;cpZ_ zVAw;k7|{tNWZv7D;j=%$NxhrE^?ZJWWpA%bzGO?MiZTBZ86ykj`6r9Xxa+S*DU)T$D#;}!V&*6J98-p0kThBx1`Yb3v~3#85v1bgocY?n1vrCc9ax@ z_Tq1{RXh58=zf>{F`r%{2i*Zr(!(^(BLr9xZFuFV8jUHy+|ti?oBX)~MiSq}SDiJwV2lLfd-;7lo*Du1}Mg-ZKmZMYmJ#FDYU&Z}hXh0O@!)8vj_;W`0Z*s-W z&K7r&>_}M)2htYBf@GhkAKHc^5N(%KU6ohg-!)SWrMJjYtNjIIw>FqAU;CPLLAr?5 z+~h9#tC(!E)4zL_*CzzboJGd&B&q=XsLw$y5k#1dW(lb;c=MOF8D5o#U+^K^$fu-& zXf9;r;V!V`*`KyPOq^hV;HQf;%fC>ZrsDz zH3_@BZ>Ihz9)mhq?ww~xV%s0Bcla2oYg$tz{c)`4x0ghJikO?we7>9EaMYSFYT~$+{FvQPr_h$VFc~IKVQudLMT`qzbcU&Xz;-VeK@ty1-$Ai z2e)iOw@|jyywN_@eTE(S&;3z~u307hHb)*e9lOGLnp9qc6-?rl;BuaUx2_XWC-;V- zI^Wq%)q@vUqP#Mg(#6VViTW5Bk6n1$R>ia;rc0VDLN{qDwUkl5UvGK(bN&^I{Ozgt(GkTPJ^mCL2>Z%G)S);ASd8OA37&X@;krjc+T>#$v0#abZMAWHNmJG~faOWn3Ti31U`o~Ls zQ|F-CZ3Hn0x}AH2#CS~fWi0Iut#P4Hml6iCC{yMao%~)-u3R79H_Im_)1Z2t@kI*a znRi|*aebl4DuBoiuT*Wh0W#dzy`=nTK{3TN-k2;>tSaf-%yS5Z*!HU&b^RCNaA4^g zIHc8iyx~L8dPq!u^ctQ)eakMdqrD3h9rqmBt4^x=-67vl;qxdP9*%qvLRo89QhX#Y zcXs?`X$dUR%(EoyQABVM5vC0>>ArKK>LOIo1aWo-T>v4+^?g|=Bf2X&nmAZ2G=iFc zBvKdht4;5%0bU_zYEzkfKmE?C>`(NVULmaUIs%Ad}wGuQdTU{B$>X3zY6b-^^yO+OP8^!cc={et@EDPR-q)N zepIM_ec7sVQ2aYO2(j|Nv197&fRc~ji)2{wfxHpvGouc_a_Gy zCO2kR{&d-$dV5M+wXSl&Ka|7~PK~&JNCPY;L##LN!7KNY?E*CXj3b zmDW0Q-Rua{rIeFo$h$j~6YW!O_;OJ>@hMB zby20s5JQ=yYmm9X%~=__7Mt9fx8%b)t=3V&p0SLt`1o4$>p&jzq3a&T>H@k{vEpeJ z*kCH9Nh>iu16``BE!I(_?Uq+|y;(b;K0wX%!um7%xerhgv~*gcI?re5opOqq`;i9g z)>@cJ8d|0J%nPz2O!HgJi{A|DWLVE3K=eq{%N$v8v$M269Qbgu+wJ6PvT14$i@Tq^ za(}2opuvdOmr~=!zd<1|HUS6ztu8EL=BkSWldMn6_m~=t%X+weu2Xsf#d@b)a17!M zF#sQ@*ZuX~)qnV19^77Tza6JTFt1{+r>y4jqI<8cEty4|1WM(J;tEX6RV7qWW=tRD z!#K#N6{VElR8VFu*(bkv$De96n=6}HN;G$^x59k2#SLcv^g@6lGXgrHRwC>_%75!0 zXZS25mf6&IU9yRe%X&o6M~j3%?-eEe+wLN(#+#5qa&-*O{Y&48NngvS1HH?B&lng^ z+_r8;UpcgttlzstRx}h?qh)isR9x+5#XarQ&FZ5`Y{D?r!5P}G`Sec3+^G216zIc{ zq24K^iyCYR5^O5AngH;7oXGe+(-!5!XkGKz^18VfU06BXQ7n_#FCIr~gs3fpHvKqi zM4n1{-o5YA^n=?xV!B^^%b_AHUE1bWbtzzzpkG9r;uKt@B)Rvn#TeYdO;mN7`}yu%aP% zn$QkB2CPN7wE(~-GQF$Rr%6C@d5-ES3s+9e=2*CAVCN5$Xn!b|^r$rsx$6i^;?^DN z7b^)nd^*4e+^k3L1~0Q!;oKfYLSm`UqeF_J3|@QFp(9f(9xTfZvs>oIwk)|!Ok{!D z3?9S_ai2XQbn#B2V><~DY4nFZ0DZ18L`IB+NwKxKC0=o5y^^l&+hyjC3lHCm;~zyY zf&&oB3TV>UEhXgjcmEWY?RVm*NI{m|t1xQFxV1r^lgG{Y@pq5GPI7C;GP=Ri+e34lL9N`DqXgK3)xF#e&UL!U=}{~Kty@%sbGCL9EbF#vldjJR)- z%QP$nj~47*WSpzOYgCpip1`Gm7rTXzG7&A%X z6z(!(L~#zQ1rZnpVt1p#R7l0rR?-NcLBP_4p_?+Y7UDcb83DpZpi--N`IIUFDYt1x zn$jgSp&QSGgri% zuJwt&1MYNO8?a?TOxG)BHU=P`T+J}j4!vIKx`M8|`vTktOt8n?65yz1~@y@_bq>KKfuv4ZPGe|>(V_K&7N94b*8iF-Ue zKg}DQ1eB?Kxjx_CRXNN=w0zYih-42ww1wgxB`;aGQ(`^kpX-3~NN5Tv>k;T&K^_qv zfjlREo_CR|qH<{k_{4OTQ5H~z(N@f8b*F^$S;AdqL|$wPsr6*qCbp^Y}wWI@QA zK85y-<|zIXmL6(^=eSMA1^jVHF4vhkm9T;*gd!~$=}Et{nv^{Q-9hZkALWpIT{@S` zg~59vON|{h!s6K<>=~M{`v7J(i3eb(`^CG9>Y1O3{yN>K9g0}(;C>QTU}h6T$+({c z=30oA2ntbz9u6}`7nF=%iz zAhxDS0;8qK>k|&n=q6BJhH}8&L3xoufbocfjd+E!Pd&&;&sVpYFhN)K^%nczP-SlV zzu#?GaCz(vBLZqh>^yzjjA|w_u58EM+sPx9w-Dl2^YtY0tpYH0Jx(Cr&)+ zBgShTanl}qlaJ$54;PgkmhJ(@!^PSjeutjqk9DPZx1hnBnH*fy=MPVkKca`LOV>14 zQbj2=7zgEp4^#^lLhNbpk{T^(s!gQl=ikH3hwl2kC!frg^cd0ev%OoUu*cXPD4!wp>q@cswSS-|wiZ*3y-6~y;gQ_`Fu7m?*pRGj!Z>kUI z*A7=YF(p&EtDEEfroR|qGn%;Z^>RLSa%}$ZQiJi{BE#GA#^1`)fo28I_Lmkc(O0^m zUZ8HImNriR#~NorGA~jb#S}3>UE~MWSjl2j(*R+DWtXhm)(%Pf3|l!(F@Z%K^hco zyP~WXwA1LA4jN$>^5Eq8b^7imp>wU!Zgz+s4r34+_TfU|R-ItNr@O7FWxMFR7ev!& zn3Y_%-vtekDaVm4Iv-oYZO4{~RYAHrIbVp635h`|3L9)_DMAV$KZGY1j+DF$;GdL( zpQeB9AIiw~C-noA%K2)W9zj+h*~M@)X2Ry==&Ir2}icH>o9 z0z(55;>nn+Y{2sa7IzAQ>lS_|4#CG;GU^BsX_<2{W^{h^9*)C6=I+Yt9QI1}N#KtZ zp*`INv1~32m19TtCmeyk51+qP_6x92dqEH$%^vQfE6(pDxkb2A_L$7}3Qig&a(Bn` z75S2W#Xqo(;5iUb0|}N5%T4Bi5RIlfW$t=&NO%#s`?-x5nL0bw@=}>LP!znsz{&lx z5425)s@=^?@gvHj!JaRI-#p}bVZgxpiaR;#={9-WLf;@F=Je9`@^LnFj0f~T%Nm9v zcX688I|lPfA0fl|akH$G&#nMXC-G0U`uUIisG{NjF))EfQZ3CAp>NxZ~|V%g{MS44}ww_!UxMpcWwu z2iu(zMCkk@tCXrGFFxv5#zb zdvokE+KX0DYwi!1@@gC?xMm#dzyJa`n(9h(>3UtsioE2>3~ce>gCcCgr?*57_!G~m zD$(?*p2P$bOlCkQ4`79JNQ2Q+`!YNY_Sp~L8EVz%N|cOZ>sE6|v zrHNL-f8Hch8G~vRs!Rj3G;@@!K(N#&Fj_C)7tRYA)Z4#u8VIphq%Ky@u=9XDgaY@8 zOU6>##_fpEciazJ>T32d9G4(VE4L&_vrsTl2e+6>1VAvo_JLfHxr|FU>lC~11trR} zfd*ir8STij!VaH#abcs^5ryuns^5qk#6=Uvb(l9q6SB7LIv9L#_}o)l$pX97Sg3Th z|M~%`VAfgQW4dO2Z<@*)pkSNegi)6ZbXyLpjQK?iws@vIsWi&RDXfE8$PBT_=>$#@ zvU%;l0IH>kmj|wL9%A_MJ8Iqr`etjFYjtDr_iZmr2bE##MkQ8-2rw7z=f{CY%&voT zo7caMqAYBDX>h`7=;^Z<;;q(Z6sD#(Hp7a=44s+<@YJ!f&B^XG9SbfKkfF77} z-_jDZ9LUft7@k4-G)-w9Zw`+0M`~C*=gk)(jU#1U!&!n0lykNtM|(oc2iFZwa1=Ic zKwzIz>FS55VpS}0nR^_ZJ5mcmU{v6E36@)*@t*=IZ5j_wUYu^gI={y}ZtF;ti|IjG zoDe)^tYKkPlVd0fTnUUw31RdbrLC*#y0wv#!6}mBcd+A{4Z^7rmzPkxKb8ww0@38s zt-gGzH8Hr?XE(d^PXX)9?Dfyjm{bP>5afXrjiJ1zjt~=0!R;{IpM$O86lck$ba`HB*WDS&V@GcVqCs~yfQwDvM~sr;+N=RtYe>jyKr<^ zrSPR#(Ep+j{IB$VC7yyem&8Mg1GBymLULH^hRK@!0|4~Rxx{)vB-AYsUPv98VLL-qHn`S zP%Cj-08^IzY+%kWF^BrK;)UMy4?2r2&i`r6Q)A3$9oqtT9h;c%?7_B6aD$S!o}mf6 zrx&DI^M<{N=C7REMXeJ_JVT8 zVqlzox~#3Wylj;aPwxxzQz+#qir>S2^`c61jgF*A8zFF}|CF=162M}l2&*4W)OgZH zF+I7qRsvk%6AI(U+6)^3>}MOW@VCB`Mx6S*;YriSqM@U!lsjbukidG!T_W1qt~VAe zp$zoex3$&Mptl*TU>9v>5s3W zbUjWK}B+Q~_ zch>*T!=I0)tMN~1Jeyl(lYa|lz@||>zOBQfH@~+t^yg#SrMUC& z)-%Dg{2v@$G~1W)2oDnjI5jzyQ8XzGHwrIIWo~D5Xdp5;m%s`FDu2COO^+P65xwuP z;4!{%$SPKm1Ox-N1tkFzK(KU3BIqE?gTRQfYphm)^Y8N>Ib7I>w0&q3uveVv?qW6T z^HtU4mU2iTwRfp6I&Q9km$7J}>$tgxoNC4@;|57~hZR1m zc76vkr7BnW<9Hk^Jbz!yc*5|f@=x|4DUBy;kX*QXZb4)|+k=$xtfU}T684Y`ZpT%T zAmk7$yg@JIQ%cZONkuHE%&Q($=hYgt%&RS^&#OIX9Xx9a+Nxp?%{Yj$uSzI+@T?lj z$Xgm$@zEr44?Je7ynhcbZDpKt(O^D~xs+ga zh@e0gZC-^i(!5%O=lN_4E<*~X2QP_dYALvB!ycA{Px%?1rNj+fIQPLe;LW*l!zHNpcnrH`r-HYJ&rohY z*0I~%sP#LbAnf^f5V7fT9v9WYvs+`wo`s|q9rmiJ#{z>Ev1l+b%{@Y&H*t|mixqYu z4ZG9K++u|%mhLg>5f`POcov3TVPJ65Q5n;qt$*GaBT7v5NIT8`fU_t)I^D=R3r{io zAs45f1+)-HpcR`7!}~B?0dtb&NFZmLh?i(Lr7ZCO(qJlZl%gKR>MlcFTx5*~U;WI5 z6sU=8;sP-xHlvr$(Vbo&l0kSt@)NZmN<>fOqBpn)1e#jG1@r<=%tr8TvFMRRik!$r zV}H2NVH$m$0m2FcYIR5^A4w#is27@IXY`jI6VuRABa^MH9cNN^IjvmasF^%C&Hxt5 z@WI3b`eAmc7iT)HTVU_XGk%WiIcfBABoI&Mdrt2W{&M-v3RX04@>m5yTyX$~6hi?P z3Y@qg%QM&u0LrEW$8yFy0}4PhVX^oZL4UpiEg+(_zUwF;26#!!NVZ_BrFkTLGWsno zo2&yVO+>bA$S(I5TpCbpUxDxvO-hQh4kL z9B`0P0ds&IW1qYnW))}Zgf@m!V%~~?juS};rO0`)MlReoIc|f?tlYIAcmZ1(Tz_T- zo-c-MGwCKHr8anCouEcI41{-Lw*qqkKHa662-^yfM?98UCxD#*77jetbKlKHL-gp+ zOehWr3cD$@DJ4gMp#Y2?lS3>3hj>eXMEi|6YG-oc5;F@*FwUGD4hy-3JGesb+3PMY z;1mow1)(X!xd9{==!V^I=p7yMQ-=q+wBXE|9&RNF>@`^035}l{AEs(+@S-ce_G}e(;K?vSp9MFu^cR4F< zfP$ky3^$ET521^dX_g4G+O|9sfXj502+HgOMIXg(sOhF)PBrZHR!4-pHn~Cd>Jf^u zNgmvFbP)hT;4*L$1c89&UVox^-(;c7PvpX&{_G~QA(G{?i3x?LWQ!~3S=3Z#wSpZD zWEN=`7o~VCY;Q2VH6+`vBZ#ne0;GP##hG!`N_4HE7orWMc#sQQd#ON6cA>#i;VYU4 zfWb;oh=|rjLl>fuO*m}D4&)UHaBRhn28Z5$f9K)ls0S8M{x)3x@qf>M1q6W#e930x z{pTNleEsnTCpDG)j{q_MmMTy?7BTQwNLe@gpqO66jg|9_ji?$Yva$c14qMR4yyeQ{IIWNk2QO=8UUX=5qEQ_)%%CacS zqAZKDEXuMd%c3lk^82$ol!BP;@DhMvP!2%|$0+toiu8fA?$G?{P%xU@%ukvQ8hhZVFlJ0GI6(C?`up#khLkDRk{ z*h)Jtq51huAZu*b>T?&!m|WN{E{K1BIa^}T4;g)PWZQ7!$SGp)+ay95N-orp-1ux3 zvlGFZbE}(D&C#Ys95vB6hR~36dbUyf_v5D6iCl=Z*>TA57mbZl_)u~IoAJ7TM>V(XB|86 zGt~m`oS+tP+R(9;G{9k3o&gIK ztW&@~;jrwkt?-zt#)(*VfxuLWO>EadgF_9Fc&f?toJ6VyF7W-K<2WhhfbAq?8ho^#;xGWXEP&&m8$-kz}M-iD?!* zm;$G0V%@cEo55mxREIKTAStS90rZsCqHVKMj%X@(b$Dx^^?wC;6IiY5u6eZF2k_xI zJ3JI;u%H&?0%q&&aN;MQpZLlgrFc$!0o9@%CVQU$h^aUvciDe26(@fzfp6kMMtU`V z(=gI-f!9c5Fg`&d+aM~UIe#dSy^V>RG0w_Cb=XWj9HKpy3plC7dOtjxk=wuZy&#S2+naU zHlz^3rLm}mh;p1-o!Kje3c3U!LIh1t`((Ik*0gXeyJmL@0#g}3D~hZpvLDrJ1yc?A z_qLOOkL<$Yk-aul|(5zk%WE&8q*u+_Tu#P(5Ht!vNxjZELfgtnR98H`mp5twFr zhhqQ=_x5oZP)%a9fZ3(Ju=LD&7U8_0eUh0Az39}Jl`{Y$u!e)af%KuJH%X7wLe z#b7A=L0ErYXXWKh{e0|V7v!$~L9oHH{(4ibQOy~A^FH-PUhnT71>p#yNSAU5tcgb9 zym$SLpb0$xgb3!=p!;gn90_IM9;2(?pFOg!7)*astXzu{Ot`Lf%3a}SE>D+P=={70 zvcgULFo@%uAe?}@3 z4h^ZKm`S)(Y^AJ6%-w*N7=Yw7o$T0^S*-Z_ru0pPi(p1f$wLdc3Zqa40G>S@pZ3u8 zkV(>~Qq&VyptdLtm4yjnQ@SlwSx~sUhbBaf0V4Y%M3s=OI5fOS!F~Y_Y89r!?&47d zQ38J^12n>^EAmDjFj;ruq1SnQaCu3fh?1a)(qu5C%C@7i8e1w3LNlZWgppfXQ(+oW zaf^+lt2ye4R1wWxx-1&_=yGehuetm&&{d$}q(7^njLWMFU9_kfb+aW(G&YPL#h7Tb zF&T;#+u>X*M4iHq1k)$40IQBolw$!x|F(bNME4I)({ve6{AKR^XugP}Fe>~-mZrB& z5S4@sqW6VZBdcJna$yavOqpt1tjcx4al?*gC^=U2C=dl}glORvKa)1POB#4_j*aFA z$FEKttihVeqj0dz-pD0HO1TxVUIWDW#3e;kJai;rAbYCg5RlCTsI5$3!xXN(lZ$_m ze>y&SKiVOig6PBKz5t#wdr%Zvbh9jKPuh_R1eWGFv6!{IeaMrH@6z2n|GZ0e35BQ? zFE463ss1XOD~hPVTqw3uonZwf{*ZyRAVRgFw^C*u5`nR$()EbK1=%FMZ*q@A5&g=# zk2jkK#B`_)H_f9+{o5#Z4FH72Qtf{Y;3e@v93?)8)(EMD+KiA;{)aPvF?}eTrpB_@ zQY?u6e^^6Huux#wN^e?&mjy|_NVDQ7b`Zuvp4WOut5gXzcKH~A{CJeNuSm1f1S%4u zwp`#*Y2PKmP3$I3RTg0#4L~KgSR%+jBf$;#$PVqpLnAW{@KaZ*~RGc)I0l0r$($f zEWEa*VWTl8>{Uo?=V55oHHcc~VZ~NQZC_gR@G@C6w}=Av;9_43`t0&NxElzd@~%Fp z>uNZ3!^dA9gG~!A=#aF0#KlDF4gYGz^-mB-6L7qvmo5L5s{Uv9?UPi{lBv!Isn1V_ zVF|%7r7QC1$;1s9>3^@9U?C~y=7-xrgS{am$nr0I>NGQ#QQS5Zmx*FD6}K%AG)fzn z*u*pxw~H$@cN>?-{WKM~7e_R58@XgttZa1AcOEx3EI;10pv-QC^7 zM>2EH%$fV0b??u+Snukts$Er2)l;?K1yGTxs56O~*_!~R?d`x!Y|O0u01cpyjJqRH z7U*d2#3XKSWA*`TYy&a{ursr=aw1YuNjL(H!617(Nn?L7kRQOo3bq6&n}Xj$I0D#N zS@{sD05U*3pyQj>3}E68Py~XFHQXJ5Yyev0zk-Ur6PU@w*y+s-w6g%&0qNdcB>dAEm4v#6rVJxMQcOuh z3INn%1juNrYcK+oG~SedEq_40eF1#1GXoj_aaVto(h$?oRgq$2`O^&m8^Gl)C+H8- z|0sw0tr)<+s(EuYceJ1lj=qw%FOu?2Q=kTOoe~{Gl1305W~+CGc-iK;U1aqL|VLX(@FLrnhb}{b@MU zU;clY!EWHcrKm}XNh(VHU#x%NfoymEg0y%1R{*fH z#UJ1QPmceiahAqTe<3TVs3-tzjX`#AGiQHnXZnT@Yz%gG0+9dJy*)rP@_)1(2#|1g zbo^7A;(wVO|GT>XB17E%t(;Fb9$v<-|2ekCcFs;;{*CSbNu=r9xPzR)PXCAq{AZ_a z-c)bQg6#fZnfr78Ped_E1%3bz7b}4M?O}b}VJSN^342@HH{4E$e?~$Q^hOZa-qC-Z z<$v3LYdd>aJCFa>Y!0$B`_pcKnX?0nrXA?BGw_4tKb_uGi2u@A0KouO0PyqMMw?o) z{7L?IAO5J>{;1#D;^pCB?*K42ws8V_fy{w#ABY}K#x8G@>gWvg^7wbd|CA8fcmQS~ zQ}EmNzg;Ydf1`h}Gq(ru{X_kR3BZETd@0?__19R25UV_T4o`~Sn?KTc@_|1^O1Pn7?#fSja3Za^~?5ZKi6 zA6)%I|Bqy1b`~~3023SgKa`q(ZXuhu<#@aNL4RHze@v|ZVSAfwi|0VGPSWNy~Vq;|k zumJyCe9Ol2w{QQ_Z~$1$K`wv5fAe??VDsN1=bMKE&=F*B_OBCcTmTlQe-q!1{3{^m zoBNlyqW>!XXOC3=Ttt6&iuGSj`{z67udEJsw6_LogUsIE-2Zk&(HQIqa{I{owh(M@ z`nR9|`lkOs5>WkXm;cRMT-@HxgNcii4Zy^~$^&5Id|MVaHg*oL|KWdY`j5-Vu2DrE&V5vTSy&mA;go++9U^x zjxg>LTcDpZ$_aiyBnRuz*^ad{{`pR##290}dvX&V%6GA~3*WUd z1v6Uy6|ZAj4PQ`x_NdcJLWm&D?k*VSEWi>rl`tcyAX)G$aUOpm*Vcmnpcpo)%mJFC zr+usJQO)jBGe`cNp}de-yI@J^rb|#L(l-}cBd;IFiYg;uoNqbVJ~ro;8%O{ko`FVx z=}%+W*U0ngDo@mw^tJIFjdEv79SvwMqBXCZ6~*!01F@&v_bE?BRzy9slZt9oD*F3Y zIc{vnmR-r?OrU?mI@9=Nm;Jaa=#cxqPLwpOz13e^)!U}Edo)LB#}VRuiqsr*%NH>z z^D9S$?5hB+lJ|_3X`96?yHaUSoa=ga%viw4DBAVgE4~BIR@F6^82#=mXa9SCD$A^3 zD2SCJnld zUysv!!Yl%bT*NbD#azt0uzVPw{j~FotI)8JDi@$qMmh)?FFRu19i2g!TV|ROKZ#B# ztqAtuYTv2mcImep1&%vK1aTV4OeuPLZ(&y7khiX9J%?G{=N!&bb= zF4l~RmtDBsSK62&`3NJ+eRQ3{i@E;3-gLda;MtC93H;GVW8!_JF?E%ZkChTS2HubJ zQAosICNQ7qWB+cdT&dzGGe(}R+}i`XnW%c6-~fNAD$5xxIu0+a!s6JuD!u2YM;t-b7@*`uAC_-~p~mObd2N*x=C{&%vP1;gFBHv9>$I7*6!Qll zhAOOsyiay#$%L)RmPQZCiV;;`o3u^XphkcYF{{oWWvSO5QEMhkx}B^HT8%LFNJYkv zvWkBdIwqZef|T#8ate;(iO0?Tx-;DTIR!Gs!tV7Hw|p0ErTj|2kJ}EN#Si{M;^gvh0}FUFIYluN%jIl1yxoh{Y2gtb+Z7&Sx>$6ih6RAg=mdYj2`8?|?b}0<8$JKV$ecE}>Lyg}te(1w zHn9#j79IJN1K%0RLvtdZNO&$QzNb|XoW!AoVYGSNpf~VYr*Pn1u`7Z~Q20g+)TH{h z+y?F~jn7N$%7cpbMSXXelJDGpIQ!PrSfQtDD<+01emBommNO@y>HYL5wrMo>iB=9X(Qv_z}?#eG; zK3ad*5*aVJ=5^;_L@xAg|DP9k%^BShrm*h_SjK)SL@5j7nubG!$Vm%zz@N*YzRt}x zomjnYjqISg#LeW*wp$mWH~5wdxsfP!;gGHPbnTYCQ^i`{Zv%P)e2>5tx)%0* z*gA-rh-Y@548UaIig}Y?z0}}@o~3`GIP3gi+gsdw*%sl6I`?L={!NN=6L$Jtx?4B!-0Eh0ZDi~= z#_c?l>_wk{xA_kz=)f(W@{@jSj&CSo^QH0F-Y;9jg+KUpN2ZA_uza?bjA`^3N&UIA zef*48ma*^x#mPz0@RllfwhO@ zwQFR(B$1Is<+_;x0X8}U-Yv}7j%`t6u>s5H1GBX)D8o$m7J_mp__C_e zcWmZCtjZeS^iK?)Pl#T!qeF)IeX&H2TZVe|6n8jrKGZq&o*5LU7Lz;Gd~j`e{RJe_ zkEk`TDYnYZ8+4s!YHfd@Kh=;o(pITEro!JMt^=6hPTbuQyRLdDhTc#<>OxZ-f{@K1pWyYk$wpazoOYn2e%}J zM)5(L3{ezAs{FB6iY0um?<2^{^Qre?yiMHg3Jt-*o3f4j2MvFETsQ}Yq9#Hna*_NG z$T5px|G+g4$U-<>MRNEau4)?Wk=8Fy^1sS>al{urB zGbV;|bskqkEUuLKd?PFAVlpcXLr6+w!Kr^Dawa!Tvdco7KrCJA*jt#QZfAztK3k< z;}GL7Nkp0rLprIWZWwv3^Q%!@{Ig)h)`ByX8=T9~of83;@U<{4xnve!tql1m3{`$WG2Rqk=UOB3i9yvfOrspx zgt$e2klKGWa8zcXwU%L;(p;xG7pBm_(%l6JPqv$gTufrUAWbH6Y)l;W!41`FoHbg8%y-7 z6As(g>`gyOqld(^g6(TfVo{diM-R<8=eQ_X<4S)XHD2=(1zkT%yQ5ePBWUI4r>;;YIduOTzK{u zd0hNFitSZ(mI~bx#c|j@5S}& zD}sN}^n)9e@{hzY8Jcz4NVQEB#XbaP^#_`O^-7ZjPeb>gD0u`JGDoobtVIDY*l{BA z7{eG$dmJzV&8&eWO3&XXf&$dbL`~h&tVF%bVZG$r9D8-Z)ri=2y9;NG!H z>K!=}tem#7ZGhZHrsbxuXVY!d_SVCx& z4t==zlV34{gPEMHGTL75gN6!GgPGRD!1U55PI6c9_n`hZ^*MZ!*-!;ci^8}l5g>mk zxc*m%{uiyncZ-z?M?LnxTJkvasg=y2oA&~^krLFXqXwZ6i2654$=*!AmEV{18V4`# zs-#4n7s})!`rhi{M}Ey_(O!8d>wxQ*@xn`&#O3vp7KDlV%?F~yNKx9!i@lLiv||`b zruOTWaEpqUD3B!S2C3FzEvK_~)Cm{lI4EL6Mcw+c_HfnfdpxNrO7gW7<=lT@q4WNw zTI!BjEtE7SUk15<+v0|-*>;5X_&vvJ)fm9W-|(?9Mq)Og!)W<{hYlee$4}8ZdQ~2_ zv09Qf>q|XVH7ITrK(F6!l8SZKu^)Dx9%Wu|>Ak7FAI-T7RGNS)bA6QT{+aHmnRkH~ zXzlFa%NJ9gdr;n5G#Xv_Ji32MIQ>)0iaG?ckdn4VJU1$&b;xU7yu{yUQ(LnL-U3QB z{lkC+h1vx`<_Fm_uaP!sFTQT?YBH2GbdW5Wwo}I>p8xVt^_`S%{ic+dH!9L@6h~by z8N14MZN~e%D-5i;c15+c;4VE86_wSz6fMDEH9`0RWiiiujP^TO0{nkL=%dYx)16cy zJCQB{V8x{PHw8Z|J5p*Cv!eKt@1|!NCUsZ4>2s~ugGn(G!-z?V>+q;jQ-XT(&Wmh7 z#wS?R8LJeT<#^atFuDa*OshvZ46fZbDDr$JS{=E^7_m~XFA`VBspDycV@V~)He0d$ zdZJcRpXAnC{Jjo;ifVtOQOyZjG~T>lgBc=1p;X<&bQ8FsieB#(ggR>IO_kX+dq3X6 zFzg^8WQQAqzMWjL=~DN~El2x}e0Q3P_?iR;uQPn93o zK?0)?sX8#{W%A4dQ(By}X*cn;$%ZLpMK>T}tbSN|_sF6VqL#1wg6~+B%ue~2?qo$v zkj|qr+XM=GI4OT74V0)WzkhVE57hxd3h_?s*!IZ+ht%$-Wn5u=BDDJw*>}#1Dw@a$ z%m$;{DzpL%fVRGADg4dk%4|D*@?ZFTR>b01=-&@jslhAp!hf*41Hr;{)eEeJs#4_W z?4MofdsVr9EVi)9s*RhQk$#xA*KxYuhIDsicMQ+&k)wZy(3G|qtGv&{os_Ha#_Ye9%2KVk++!y*G)Wz3s(XRsBFwdIKoNeG9!+lv&`KW z>41>9^dWJocX*!GJV)o+1Oa=1agkgMwf(Wldv5;w;toFh3$RpwRnrAUyN^oHMF42y@zMcwB}x zOP`RHkRGcL#wk5X!Yq=Lq2|B*#=W6zKb`%}Ezp0v=Q;;Hl~j(CUI?VGN3S&!O*%Rp zKU@)eO*jcc>*_AYswn26lA~E#$U)A+eYB2^k0ym+0wgbpC!e+o$L-6$9I0{hnEa+_ z4BQo!2pn>7@KHvC_rq3Kw&mWQ@h_%jn)}$2!=ujk%^g-WhtW-iAvSsC1f?Uu{OkV{X;EnZIAHs-nQ06DGxL^wA>f+&7mJ z>mV$FuiU@FL8Wq$%1PHVln;vZW`%7Bdk0u^lq`Ph1SKx{u!I~X>=_0{9{AALF{i7X=0!S1u zxT4m^SIIhI!Mr}oc7G&d6)Byl_-hVZ(E?PV5SMf25ya6$RYJh@%pDc5M+Ay4bbf!W zf?OhAxMt7WraSVandxVnt6QA46GZoIpY*}|j1awZITJ^>O$jz-_4;?C^oO?-P#T8n zo)PFJgd@w^bf92aWNS;PAoriU64m?>0Tqbmj~^Tq#amZixsCAJbi=Z~ODdwd-U@oe z{!ET#{YBKQ4@JnLn1}mgI9d3FBny9STZ;g4)tC%Xge7}Ej6F{7>9{3`rjTdT`y~+= z3^Cu=4A+2Luvg(WbEf_jF|a@ysP!zqOou=G-ZQUj*XbRSJ3hcmDwW#*K2>pPLbvX zR=;|q&>C_fdricz{oNp|dBJRzl;bsi8sUgDymr|B8KqTqt+5SvL)E#Y2{nC3;;->V0v5oC8Xqx{aSd>t_IqB>>>h- z(hKV-AQ1953=(`ilz(g$iE)3<3hha@yy3;kU=x+Lm`?dtjwFpX^C@#a`9pImQg%Rv zcOBlx5y(+#{|)`R8~!Hrq?ArHsz+uGEwokc59yFWv}h(Pj6K{3^I_JZQ?@9BN616Q zi&q5>m~_!Et}ckh9mb?5X%>Ia8xI5p4No?xbo3zSK@+Hfc1lmks5_07&DKz~;3lrOK< zCaMcjKyf~M`D3*b*&t9c2|?#{_(7)5NMZ(D4LHWvmsp{Tc81ZykARYc|G=%TTK+;J zKVmtn#flBv`68p3+@OD{UwRL7(&n(;ki>WSl%gm7bvE$*DYj^3v^n@jl2w zB>u4f9br|F`7%j5>(wZ-<(kkKU!MwKHpXJJ)8^>qzr&jEsI{~F* zYSmtbnG>VAL`OI2Og~j;)RweME`zI^w50`a`8HqUu-%`w$(Vl)%QMC^Vtee`peaX9pDsM?+Va?#nIz|4Pm>L#+}i_ z?hf|{S+Stg!WjyJg$^1@j|%ccoW9>>o(D}d;Y1B}IvD29*pv%g>x2w`p~=`5o8k3=zov7b?RL_0Yu#0B^@eZ zPJLz)#fmey=vD;$Dd&8GZn{ltZ+ld*ig&_fA~DBUKP@d2s47b7HxJMiWwi5mypLmpf2`f%iF-e7LdhxIF~J~%KC*{YHHJL!v%89N2BOpRlvPp0pa$v34& zyb=SGom>@BS{0%=ij%TRaZg4sMUj_;D@K28aXALP->jZ)a7B=zzpd`%EXNgidz0r) ztbfl9S+HO+!|H96RI+`HB0j_Wooji^8G4^6BvsgSbSPEiil`7^Fy6*XR3t9)-JP+a z3oy8aHCur`{kmfnoS*qTfC#Z0d)gvw%_Np%*1*R7P|3*$jenajF*7~)vT`w~J+y!H z`@~DR@F`yirg{@PD+kk6^;pft>udtP(?Ifq)g!m4CvBo_%OcRazvm>7!!i$g2qvb>kPs#aWy*8LPIVE@&S9hi@BwVg@-}>vBomeQn-RtMhud2w#-0hP@Lzc#PQ_ zssx?Ac2sSYH6-b@rIpfnfntBSB4dBhst*?+W>CbT&~<5Z$w6_(ik{GS@ckL+?NzlK zSX18(t}-@MqLBmPr0&fOiov4F76y(^wjJ>3do3;J{)*35^9;e%NrwuN`%L~m-;7sD zkR1?USv)R|WLxN(9uXH^I3N0c-#w=pLGS?2{t}1v*}!g_n078n?P{J;R~~zRS9FJ<{TbBz0*-(8a+@k&(?Fh(-EL^@wJ(CWi zg*dpB))GNz#Z4WoHnbI62c>pQzl@r~m>IG{I~b>dWOr>)c+`upMNbT>!`k<}B9}9V zcnpy8>U7OXoAN>T+M|I~N2EG9wpipS;Bk$!U}vmSeej~2q@+!MtU&?>pB5hwW~vtt9~VFRJo#7G ziqPgG`Y2HVj}N3@w@*S905aSSCi1%!Efn3rJcgq-p;rgRKnY1NJrt98H$o- zSlw{{wC~AE;Vu_Y?7Z#~Y|pay!XAHN{=L{k;Phyi2F~p>#mRHB?>K27r2CGTcc zoM?rD!^EDkWJ?{&I_ry#TNpsrpZX^&#eTtOqY10!l!R>Oo)I2Y0ja~DpUC@wH#@D&>(->Mz>ZFAUD)L%AnnZ@s*B9X3&M(>*ZNW-P;Qg?rMzp~eJm4GN_5*{q4wiBm~Z1-zB zZJK1kolQOpV6ZtmMfmh63M=HqfIfNKrHBLmHAnC%-5)OT(_O&X2(67A=*M&rW|Pgh z=(8O)O}|D%rZnU#p?$~flFPSTB&rvykE#`;fxYYU)&`B6DtGD_ZQWTYnW-Uqh;ljh z`1gODQGH~gwd6^`VH2cmnyXFq7`E!|DzkpD!UcJJ7jfGci+~J#%Y)SipF2sw22REv zVic87$M3slOFSE@@=xonMYc9ZEHu*-P7afV(!rTXpYup2BRiqft&t$PsDb9Btsp0f zl%`)VzHACIaVjVFq6u}jzdrV&wo|@i!4`ku)9pxIr66>{hH^O1(pP_k@ZI^XfH#=9 zAKGnO$&?D*c)l(GCL-b$5l>LuOUNI5UO4vqARW(oY!lPs$2TQ9p_&E*;~0ViWaIv3q*M$i7T_RMlv(woRiTKA) zF2g7TO9AyaA3Cg-F1lI+0-#MJCE2NMENR3EqTvZge}+qJ{B`9w?W zlTgM}l(wtnQb3e2y_Q;RjfHA+V-o987btJZnlRcdW|k39FP(phFFA@NeCOM?kQ|Yk zdodJ>oxS;yKAZ`f$t$UM)o|>-B^_8L=SK;59@xlAI3TqQ2@dWA;_cJ4+|_;ZMSGjt_rExUbIBt*TIPDs@K5_ctIA;-ms&vt?m^v%lYqCWJ`G z+Al2`3PO{%PCWe1{rbqr>)anYR-kg|;)tcyfsr32w%fi*jU=a1Q_VsA*;9kmnx0_5 z)b|EeRt^uGCXaqYy^1*>!3|aV0^_4vzUDR1*3VXMB>Ix(tDG&JCS-s3xq@uD7&;@1 z0mF-dW){`G)L*N$_Xt053$>qr9X08+R$gRC-8TwVBAsN0bjIDBRG6hiV;+MS=rlk8 z`#G2o-LTklTBA&G++G1Lb(DCy;D)&GeETY1uEQhrEbigADPnQzQ{0qBfX<|6;fgeY zf_XQA-S$|{xUT$1W&@zX0uhTuy(o_+BOP{5%w*vj?se` zY|8faT&A(_MVp?%&4IGq37xY`-4wUMXYYK1kG|>uX3N5aCgp#gxkZTm>L?G7G_=s_ z(tukuj6~raxs{MsG^k|GmCbP7hTJS^kTH<4)z04dDGv?*H|lAbpXWDR4h`d!h0_6x zBRNiMBl@JN)kUxCA112Vk80=P6~tzZ&+G+(6`}S5CVu^0bT`;2NXeX7eEBj+3Za_{ z8IFZpecAqaYdU`(Go0si-rP9XyOH&+OwPud8qA}($`zy?$vcpV!E8cNCUyy0B^4u+4ATB~@b1*Na=hq4gPGwB zf`|vGFNT2?c88u>Y6YAB@gD?Q`%~Ae31T03I>FUC#)ND1SXV<=TOV(vq zW@GD3NUl8U&DuW4UR}}1a!kM(6&>G4CQ8jgx^9Wi^bg-Z5(sIx$#3}A8toUda9O() z;CTJUi%T4cc!{#%jpEHU<7wy!s`iX==>5LSHjsY@y5-1^fCwFFarM>Jsw;qA3UR)H zg1EvI{`j-36K=kNTr4%6G^&jQxdx<;HLA#Sm$V`eS|SOL>1p1-xxEX#8^-8rN20mi zBlE%~fJJKv2{;9mUHT{52vKwK)(!YH(P^VYJfs!}N0p7P^HO{X%bjAlpWP_Sy@!Uz z)CqsXB+flFdiG9ByR;3xhp+m?EGrQESqfDNBst@v1z;1yH^~&lh<6K|*jgb7bycbn zh^Li#+0tARVuhWD^fNoVU#H!ix}$#_cE!z03z9(w$C?PS&R`OepJ<*8d3aV zGki!I18N^hxjsgwkPd&2 z*9y-Lojl5WTJrC(R%N8DrK8n@JvuaQ#uC;1q0ZiOSvht@&L4oZmuUb`Sy_d??3)x& z$~L61yf-FRNPARJ5)@|A#0hD@%o0R!qdi!b$?^04erDKxwU78vY#O$hVc;jPp2QC3 zGX-qM{e($QEyT&X{x#;!RHfgKNA-UZYRKNg?u@->z0jxNNS>IueruY`+nMIm*C(~H z>&U)db97r4>E7k)PO`KXv1o*fgriUR=-*YYY}kc}tZE+RuF%$L(8J{> zpk~3xSo8V0SkO4)_=O*oiw-Fx3F}#11DTdY@ z+Lv;WFNES}*j{~99q3vdGa%T!Sj?w>o?rP?F95WFEM}Fl{uP}8oj_J?3Kt@I!JY&Dvm6*ChhVa zRA3jKXf4|2xtm>5e9{;_hjEQNDd)QTWFob+T8eK+bGPHjzY!QDVXtVSIVOm$~ zD`LG~(xlzlb@bglvGfK6l09`pN4gF(zEpc8V6g4_@}@9MY`a|reBTUVjR#@z<6$>K zlXiV2RcjNazFAr&FQRy3=mWu=jP|$I)6t#v!_v82hy5QDv$8) zM8kluo5AG6&4Qgl!aRSm9q}%^*;E`))1GroEzI(cIr9nmG{LGk0dYOXNr-HPvdZM- z?Nfo8O>kiZD#%r^C01V2j{U<>saXt`++{8urFnKMY6>-+y5!g)@MH!-P(nyfy6Z=E z=eCfj))!N{4O)VJQ{F-vIsvR*NdZb*m6|Y15p3CV&^vhq%dmgkm5=-}Y=txQQvu~? z#|JBFNJX}=csd>W;eqkO z9nLRt4bF^c9%Q6z2$eibUI?Bz@KIuRJs?9KS$xm3ct?Ng5lus*ZCmdS{`&sc$u;%b z?GKroDcCOulxZvjU=DH>Yr-~TS6xomiZ^@`+z>TuHV9j15Bj8CW zu6v48lDU8F@zczOJw)jbSHD`X|BMibwS~8k)$mEZc=qFE+wx9`q{K{?pDIN{^TBS` z=};%Psw9JvbTsn=B(j+v#PGmJ%U>dcYy7wt-fpMM^5M9neLqaGRRx>eo44MmyM2aW+C9-(E^t&frejL$Xx9JlzaRj3j>`CzyuBt)l ztu=LYnM|zVqLBYHrwd|vdC?rJPOWmi z^6_1cy)~88x57G6 z^(UkIB>~kCT+3ilUoOUc}rGe0*T1V@W*EF-&6uz94BP$xY&o zJlw6WZq_4}r;ZH&Q=&PxZx01m68X-SzK_=Tw=-oclcv~Na{G2?&6x{V=+ThQ5^K9&LD_K8L-CM{B z5Yj6!I??wpyuw@(l48pkKv~WaCUJtHlK{9#6}xCWes2@{y~C$mEu%>dpwp)NhdG*6 z(72^<`syDQsTsqZ)q2dBM=91V_;t7NEsjpV$0`@x5}0O~?%t+I`YF%~Imz13fHaYP zJbo5fQ!P6a=FTEI&_#F5pD9RpJoc9O+i1qdXHw%~(D;elf$=j&EG0tLmh`U4zZwHf zDthFP3*!a!FCTj8*-VGd`aucRQ&{X*ES;YDeCUm7(+c18BJqEBKLY4BOwX4aaN`s@ z)9SCKE1Df%uf;Hroe38(gt3&u+9e42EA%ITM33F}>n?tzmpf>-vK18j@rOjNN_>I0 zG)BQ%)~lOdA!x_7g%z+g^`w6chc(rl9>1C?Sc~#@UiHOEQ8L`gSJhevMBD^4I_Bc-MMKrT1gdGTldBRt%pCKpJxA*1 zjYK3nYN;M_{LX1EmMKCD@qD%rQNv8D?;rcQ{C}`!3R>xl(5%=trxB?UwL z!kE&XkCOQ!d_2_6o7e5Er6yi*#-UN3lT89XT8aHb7Lb(r)7i4#saU=pZ2TDkir|AL zjK^E%cPL5!7;H+$E-r&_w8*HdB^ERxIgRiSD(mEqvm%B6@fYTv_eeS#)K!nnaju3! zUBqqp^tv2s<;s`ufXR`LCBH9iF+1o%o{0aYaZkzb_jVxvtP-?VSVgg>C;Oi>hTh&}kI^Te z-R`fSN`y>1ESg8p_jjGLIy28s1HF_n_JibJt)eYfTx&xOV9QGUK5nP?tKUUl``lkN z|6+HW(Xd1gUAln5M8X!>p|B6;cyMrd&C1iZKzwX!Fh`wQ;Bd70p_|YHts({hForoEw;UW7vKfr!@-{E{=7CLTJqjH2>rvZSqzUizej&SoGD9VYzz? z6V6H;wG_n%2Bw@d;nMm5f7U{iK_-)sTK@wU)v!{?-Q}TY;8q^4F%!(6NV*SiC3{zn_QAa~)abTI@>pk*Wl?u`<6D0Yi)NkrB+O}6 z65(R>%X&gyP^~z6fj1h>qotO=o4Pi=31`LHpy zQ#)C@s7xNEeJ)a0yg5{`EA3qxiPQAK6kxHWz>9(fsC6|x1eQ8fsO{oWcDQT;m z(oTz2eVg-upYPafAD6fz#s$Z|wr}2pT};F+pJi)Z77c+#zhtV|>Eac#O3;X(sd;uh z^ms#lvi#e{>X@RDzb{S$Ps0(hI$dd4DV zY3T>J(ZVQe2T~Co4mImFb=mW%QbIBn2%T@Y-|}pJZ%i{|7-WF`9Uuht?-creeWkff z6KQmy*dfo9Gv|Rqg6?JAWuA*t58q%=5>84vQ1&c!DbKeLzm(9>LjEOuw)6TI?@^y3 z=Z9O%Ay_}&aK;(FqqJ zS03VyHXF%|mb-YwtAXC4;(=M=m51*qg5(07HPD|iTs^n6deLaNT(}|p$xITn0ArAc zp@cC>;orS;-i*>#MmGh}9Usnr$i(^wb}%USf`O&J)R&7@U2Hi~Moe-d_zN-osW$)x zdd#X;U`okvWZK@F+W?D2R^(xQFBo!&H&2FTY0&IIJB#l6hsjwct0|NblO(40j8iza zAZ40+E8<+a7?3SLA@EvlIK*+yb);A`$mB?%Wk?2K@+oXT7mNMhLzhg_T$ml(9~|-u z8SdR&7#;j_oWDIXEVe;XmYK<}85~;Qj>;#_^xvOo%N18=Q%Q zl|6$rnw1uKrEll9)!zQ`*C;sQL}C21&M7S4Auf$scc!NxiS1B~HveA7={U6O{AJ`1MIM9juko2srN!mK-W`hqm!25&d0A~u`{E}-%DzQ~~ zT*B;8v?_A65{aR{*=e4T_Cqy!SC9n$%!VexaY(|R19nXo9L79CY7r(_p(m9?$JzWC z)lGyOFa{Mftd?yaUrr`ZDNjF1&VdoS!H_NWLgN{0Z0-&ngBm-Um>+}-?En`A1<b?ioOpmi>&<=VnBonjvjgi#xJ06)!5dM3!?8J?E=r_D?-94=2IA3x5Y5{z) zh`df1%UFF8ht;GJzO#Dg6@DQ(7)25W)-#n~hThdXr^mK1V2r?>&=8bb=<67>33QAH zz9-$Hj}^o#O2-PDe084%RKrc2?p+FhZ43?|7Av<0sm&={>jgFQDW4)1FNk5p6KG5f zp_s`TX)8H0!fWec!*%)SSYN}VTQ)C{Vw8F5t--8Au{E+|%we!BF=3d5;w=^f6Jc~V zCx!;U+HO(Wq3ASZXnU0HmC4PKv&qDNq=fN?iIL+4Tfo^kK*P{;(ZU&}Vgwtf61k*e zh%?k^(4?Xm6tm<=6v=)><+k5Mk#9ze1=*7(fRk3kOLoahw}sa^pdHnwg_QhO%>*~G z)Jsk*hDp^7!WX&G6=lrt)xazSJ~Gv>m#Xk!$BE*`v0EbFsD@!%AjX>NT>{_=?IE(X zMY{^PEKHInXiDHLj?-hoWsUYx1JfAbqqnCvLz;yp|JrsdpEmmJrVe6IE_ILORC7S0 zg)!+w%}$H)X;ZU3P{5x_2A4L&hwl~|kW`Q~CvIX++)Zl#pile~5jB+uiuPfKhlwQu z+lzx1eVZ6Dpjt(AS|y^vP9zX$;pqk`v`4(|%XfQS$bN*$XRh%i)niv0wb!DGQiT7` z=Lqrdq*+~4AsJO3oK+6$Rkn98y4e#Yk1|j%Z^KJ!7n$v)>|qhZCUIG@td<=nMW>r` zCk3oGyYQWk&cG!FbxZ64iHFgjz$^sf{AXS^oUU=BwIw7d=#vqhphFYR28S1&@uUSL zf|WE3E?r>Y3!`iMMf#*I^zUVH>APOR%RFmePvIc$N^VaNN{8rhgneBnUThvcriA9; z|G+qVy@36xi&%z@X=8j{ex)wix|QUtvitD9*6`T4zDnqCreTW#`Yu356G`{6`Ys>! z2eL%_h5R@Sgx>$LMFrgdULq++DhAsa!PtLc}T#bisZ4u`Z`qOIammC8k1g%gP#VPY^V7gFpo zVfrDXi3$9mm&DefSbFx*a%8{zZ#K1H+<-=$a!NMPa7Z{Io2qxq+2N(#BJGwYmCq8pAj@H5aixXLhc(MRcA=oANVHbW>~ONQ0S=3XMlE_)8Nv{;G3-%W5` zF|DPF10j@9u8KqcriO2nG8m`PAjFoW{__?JsXLt z4jm562}j+uU-H%CFT_wm47dte+5W*-Y^!w*e!d`!ox`C>Q(PLy1$zJmkQs4%9Sdp) zi*wx)3ubHk4yX)D-7ZW;YQ+Q?{n=3|%LI&h$gdyf9|QO7~)kK)S{35$q!nMLh%Knr2GmqUR3+FMkRAda~P0WvysB6N*bg zLaZ-pqR20E6JU|H9p_ccVOel{$(`c>ZdLGN?3B!_3AY+7LywQ=As5F|i$mRu(rmU! zhH@=y1mv{Np0j@h%&0IuF!F!q%(k9?$}u3$0pqWI6MbIph;_aI&0o@Rz>0^oAL+n? z7Q5NP+naoFWVVO&almj-lGEWEI_C)*v0c__tT!QA~UuA(9KV-sB}n>_En zt<9yet6;QxnTK=nO^N3VU1kG0!3$|E8Y}pfVm-wp2uf;}5;-DJ(hU(Q)pBYrzW+f+g zqW^>Osr*W_mfvK?BAFhvFO%_rrzk+)K`lLvacluU7p_y$yvXS6*E z0j}0r$3BsCs9MmUrB#_8Lb)6RY}ajr>RVFTZ0Yc+nJ9=kw9;-(cN-RXtE+iWp0|Vm zJ+qkX%TE3k0gmC-h1r^C@L7MlOEC5P8LNe?Cm$@eb#<&F`hKz{Og5gBm7lPk9jTwy z;!#br-)q_?Doz*9qH5?phXUOz&t(b{;g=V?Q=NJUm`(^80*U zPNLUd9C54E>#x@%*k|m*V5;ZyTUpW%vk`Z&1&mLPJxmTYzlWxKcs?DRQZU)Ib^kW% zF%J{7d-YYeH&z)F@&>B(`%e__67>50dq0g_KEFKcaCxl7OQ7m`D;YetZCmWA>$$}= zw>U-A&Z1ZB_K&-5HYmX`35>JQEt+_=JT+|}5$vBwenJ047d}!tjGu@9OddFB_=g`j z0^A>e4=cFqc-Q)jFSy5|ZZ}59h)&dG3%{RPYem>q_Cqx^? zIgY=hf6WL3d;aMo`V;{7Ap&P3{nv>#{YRu<{DxT#f$o#QlYu?W6dNGNk(tnb$~m%o z*2BOZZ9yQB7G}XG??J~q@+bAz)WGeRSR%Y_60*v43im*-&%i)!x^9?VYDtQ#J8oKu z>v5*faVFF8B@m8REkU;?-wfv6pGqI?oMh4te6SWJBrpnPmyn3y>Why#?C^Z~s*u}| z9IO~qwn2fuQZ`wMCmm_2=9LQq4Pba|OzkPw=nTM(`Xg>2fy*t4 z*MhV65+HPcelc3;HA{S1kY)B6$e*p5gnE5aQiJdyin_luKcAp9hn4CY8Lr#BP>CIr zx@rEM&#EBHBmDwHbxMKs57M9ae@K7*g~#*zCM5@iuaKGOIb;i7!{lyngqig939$Pfiv{{&8&%t^h%DEa^;-+FWrPvB&yti7$QV)-lt(i<*4gIA7na_7ImJT>NwIrec4>h{yP7kC0=}l5BJ} z9Hi1}Y;;5f%v5nlz14V8ikrhlDB9V~Hu{@`ogH1hb^i`^jWtp-yzKK9 z#{=qApg`;|T@Nb!P*t~lZJe7s3w4c(JU^^w=|)|H;@;cB$L{hULue;>99zvX&RoA6%R|R}q)V$L|G-tGVPdmLLmLl{UzZU(2eKDz@ z8tv|QMqQfy@6{nz+R{&~_V||Ohin1g5q$Dv{bL{Y!}Qj(Ffq|$$eL?W#c^RjT@#hj zXZSHs+nW2~*x2#uyva^?-1&dlaOclB?^hrlOj$~zOG-I|0( zLLao0V%f_qcUnpO(vf`H)lOkGuF=G!#+H{Gn}q65T|P zop6FX`VVSX5%bXOT(Zehi~-pv(Iz0L4QtINPH6183Mx-b9YbZ;%~Z%_XC!2X#();U zlA~l;g~4jv<(h(C?Mxa=$9kZGtthfv|E=?qXro5M9euZBFGu~>qI?u^#%om#N%|qZNAzp8nC`E6Gv%aS4g^eKhIbSpnPBN%v8!Im zF3;tgWPciu>`dpFj&cnqu0w%ce&klL&D{`pxtXKS!Ttm0)1v8D)SX{ir_c)Ww+j#v z!6rZP@wafUW3eInGb2m{-1k_Y_TEk{C8|?TtIy^DWvY~U=q_X!Mb%_@rZk-47;2Sh z5R!159Y2%A9T;U&7b+p+@Us6TnjzJx&@>q%k1MO~#b%|SlT-d(E+s8vcrhN59**kV z551X6W(6+cB-5Cw-&Er^Ae^VNf6KI$DKo~W7qab0c$2RAjiRh({~IM;WXDvbO@v^O zR0Bfxyl`D!?)L;q1%jDcFui(rl7?L&oi-Z!w&MdA8IR(>U{q+u+}GUif7i@PgLU|L zf9w2)mZEI*41nuj(PfnhZYeLk34?d$fT&Tg4Nd~;w+N{>b;7P0=eZ+5){aDs4uP$i zvC@R25L+M)g^jXEcbc%8G+{7AxD|(t!p5+J;d05mGzSsMFukOADB2Zr$$lVE0ZW8Zi#j}Yn4 z(nfHaos~4%5uB$Z=TZ7S1RlA{KATy4sd~>lj&b(B!&zyR0*xRw?mx}%xKo*o;0O`6 zWffGR4M$7jaiif|B`1{efCL|5;e`hA)HO38Qa@f28p5G%w3BS8=vQ?CcE~pcUiAni zg#M{0h~Z8+8{)ly=a-v~ua8$Wq4(noV4r`W|I6*(z{efl9mI8kA&c+yFPzWUmz&6h zuXln^!$fKC@X2Fuv8Th0c&qyHmfqQ~!`qMNJ&_Q#S147)R>EseqSCZv!L5!IbuJbl zjk^GHbM;gGQ-C73OtVhlL~up0re8y(e%nrBO|}3dmEWcxnI92FTz`C6zAPRt4Le-~}Sua(|Uh?rf<4#9DOHFZa-q=4#HCPC|29sWwql;%#TByegbno3!GW*w;b{ z;_YI#0yG+Xt?HpblM{>r)Pg1+Q|12XXshZ=EUV|D7lqp_ynsTl z%4~=&6S0bDp+;>LIiXH*$w_rGG~$<7J9&FvS!s_~O}csRX12KC`Ofy#Rp=`ujiy93 zm*tvy^%M7`svWe(Ed9&L-D}hFpGr*Bhvu){s-)9#rmuK^ajIWRTP-&4-pc1JmGU^*|;vs=Qd75|Bh&Tli*)7TsY^oxDa5(% zJ?K{^-J-cGn>)rXlO{cbM`nSmRsz)Wz2X{|Pbtv_`U5S+kvLYjZVNy~|KRAoifUVg zKv_A!fVZMgv!F0Ub$D;oX2AO2zVu(Kmko70#x`pK*_0l3N58NJa$Xb;TtiQ$B?f3n(w@6YtBDEb4V-{Tqk4X;%$i`O z)#9(1U%i2O1~&{+R<8ymU+-HN^Tfcj%7L$!vwOQnjE_U50R78ZnB}g=!;5+5m(BNA z()CH;Q`h=PMaI|nwwVF@3b(hUtP@Wor=En1cZ=uS>D%?XtsTqF0>jU@*C*r&aCs=r zO%ofxG2JapB?d-4hCE=ScW{}w@iC*O?-(0Bv$nXyMm3|wkglX+#8dOCA=Q;fSs%ic zMU9}+=Cqt2?&iIwUw&&w+LJ)*N!^ox%{={;kF}ECmCaJV*5>j;e*5NfsbE8EMUkLG zn^MYC>r#Hi(;4BF!?WBK$BN>XDwzY@D19m>bI0*5@JFXB zPrQi4&fk3SB+cL`aun9OruZE@dBwA`v^sCMS=knE#}iV+?9sz4HC>`yx1Wk=F~5e4 zY%1AkCgwnNRfLu}cNM|DqX=;<2h>5E~KdyBiC4J$+r zTJA=%<(ojF)kA?i&;C5>`JEP=QTj8O=`vw>;S6*g4&Ua7;u-2d~d4KZMZcGmK((xceDx?bB~vDnMrlp%y5BM+Dun3Xhv_;$LeGE4bvPe3bS1M8^>GCE0m-%>YwRml@Qj8f=0i)T9Q%m)W?Z->)4ccnz zOLTN4_|s+hMvVvR8Jfb3<`0&)Yp+OH0PUK?-wsV?qlj1?ud!|CE0>rDkw14u_6Cz- zV>Gq-8m)mbm<5g7Q}CL6O}%;bO}6=s=E28|J!X?wF;umkLkGcatNj9Y*P3(M7S=}X zo7h>6nwuF#0UNePR9Dk-Z)~me*VaxwTDB)ep6LdRC6je63Pf#}t@o7{pX?)kX@;`W z+IIx$DaX!6T1Xc#VSldv(xUia!Vm^4Z<+#vwYRJ|!AU(rCEX6r=@{6C$TAvV{th$g z_)v-){m9wjAa>9x6GU$NSKNLr#IT=6Ebw5l`8OZL`UM`!x`&HtuQEIr7c$IaI7g$k zBqJg=F}$>G=s@XJ`4QWeHLp01x$<;wfa;GHh5sD|@T7>s(fmI<4Qw1N8AWlRG+Y5X zDltqQh@ecItO?IpOY6;)TPWaVWy&-~tpV^p+C$0u6M!L0^w)d8qpW}CK7#kH_de!l zrvy6LSpZfi6M0s9$#x9$7=;&ke3hL_4SlQkS-om7;BY$oUyY4Smw_d!ut(L7-B6KV8V}qk&1rgFZ1B#zSD(;5o7Du=p@wrU}W$Go->QA*?O+ zKefMa!H!Xy@eg)F*(b0#Mnl4mLK%nU($L6(j;agMNVE+jjmmHejzVF>>Wpoo;M|yn zGb=h9nJ~cM#ZZ+R!pFi}M}r?mA6Itj*>*LVk_1eD zr;%Z%ev$CR&EclVV0R?zm;qljrmhksZPEXUjW!L*oupMiL1=RGG1F+N1OSoNB-ey4d(qram-g7p$!ma_mak+HzIj*q?le9U`ngC11PAPSgf3W-JW8Z7lN#oPuF(2g;F#!@3g9+o zEF+tZ&?96>w^?)X+7qPdyycU0DYchy3Yy2XREbAhJBszq$?`x2CRne2?^L_E7yxv- zo{=J^PSsF3-16qH{v56Gh`7DWe*saQ$GG_qRo?u_Xa4gY&UWd_H0M8 zP_8EejIT8L^h7<&67QS4699MoSrtK#G!9LHSpy3sn`q@ecv&-Yy^S`MyCXm)Hwx@@7vi+h-6>VfQJ^W~iKGr?<5+q!b@jfZj@U!{IA z_kPVzd*5YV|2miY%d^&mlb}2^;>C9cnD}!NFAuEwvwG1N%^i*i3ZqS{C%*~p>y@|@ z_tnH(CUXbz3HFmoE$`+}{mh=f4|no%j|_+0_=R zZKbT{I>&kn^+Ojse*K*Fp7{3`riKLfOZp+use*&ck69$Mq6%oV7bN^6&B+o9Xt&7{ z4N(%%DpH6<`uZXm%RyV9-U&i}*~QKw6QC7~S2HY|E{7TK+1bd0G+c$kzwO$7b=6^X zu}C4U&;uHo?eVK9_QzhW{Piz8@UL4}9P{k9;O|;a)T$uGeLhR~bKS1Me4gyAfd8AY zL*G8RUcOaKJ^tkWeS$E!L}HFy`6Q7_u9pYg4@Gwp1rRae6}PPB)qaG2!^255yKibM zp+-M;*5?ePt%ZpL$osuob!hmZdmre=;!@ru=m7NG48ugqIb9a<%HMW2GHosroVC9m zCk$RCj{qFlp!7`k;PrBORWx0UI+qbISAPC*#QrM0I9MJ0^B_=e(5e!oLAZXJN7dk_ zCiQv|5&+5%0rTW2^`y1D9^ukeWrdrjEr_<>nTAifePn=WnA77b-V}>59b%eO>o4Af zj|Z%&vK9Bpt~#0fYsuMVU#NJ$a^=44&Z*##u2{0tgR5gu)WpgMP#OPeYrDCiz%9}J z<7|BJM_oaK4UR(JYJ_b!tu?ob7R2fw8(r*&Pr}MtA*oI3vL2g*Em_=UKz-hb``4u6 zWx(#JTLG!&wfQjp#YxmpJ5URm3Hi_T)cEa8GtUV! zIP(Kvzjt^1^=|Uo$7jyTJoA9VUf|0X^B(ZFpyT9MN5U!Oj_2D=LPy3tFrL^0p~EEH z-Qo+THQ?oQ{KRO_(D19&GkKlyTsL16{QX)Y5Zb&z2E`59_OxTa#qK;?J5ths)JV#m65@8uuBbApHBD+g-?a6!>6=!==CEB4 z4?_Y{;Kt83zqLOEwoN@%C4D_zEwy<)kX8_Zy6%A}y^DC6kC)TwajpKWHp7lbn#J|8 zG_5**9&H-jzl>T{I$})jtd}zkbaTwzPSo_=-PpzP-Q6r2uDZHBOJ}<#GZBr`J`x8a zA5UkrfQ_y6T)6a-J+AcRn42kj9`tgG`18e2hihXGzT8MAbtRmK(}vr6E-;7c7kNCL zR!6&0p>}M2$k4xtz{*k=%f0p!*N{Wk!kGP>odyTB=1#)R%k{qt zJ?yM({~hAx=>ZxDI}mr?v}T%_MfUJzDJa1rf{Qme0nvfAAq=@kq~0QE&`oNEU;Rf} zH5W;hNy|(K6D!cj2@**wdDvcXTm7SXOISR5q3swWc)co$Yl#5WR;gXrsx=zDRp4f& z9t^)t%rm1zQyPzbuxemgT_>b$JJ!WHWmB8I^AHf>!%3k$$OA?+lr%9+!~Gu`0QVQ; z)ne<}9jz1ut%*E_q=(FQvV@+_Qo3AgS~%BcNgac&ID+-0)c4Y4?t^GtNk)bUrE@9H zttp>9o+z7Xlfw}WkE2f3mLgRl9(uFQ;y8L1t6d6-)>s z{W7o>vz?%R=0918LKFrAv*hf;2$y*pyyt(-yY9SrzSxFh^Z7XWVhE7Qh#QftV z0P|gtb8{sRIY~WjZq0IuycDqGcQyWPi3+IJT5h{MtWsCCDJE1}&4^LLcUjG-&W}

io9G~-?BI)+Dxgt4t4^xnkmQwvHIXeB&$XdwH40bFn7)%3Za*7RZX2V) zGTXYUYSb6_0UD)s2n!DE^?wdCI|01|kKVuOt(tuH??^xvyJBgepWVLEBu6ry+ygl_ z92DTJRDxs_9%gGQm<mB>-rNrolkjhT?xjx&vVVL*#I*ZICh z3E0p2`_>5WO(HiZ0UI@CRosl~L6jn@&J3<{&P5=WZO8CVq2Dv6VQsE%GZj z=g0lSM&3@bOrVeuq{L!Y8hG)`B?P2|M`Udf4X>M)anDQ_`_8k8oA+uI-?b^E%D)*o z5ZH@Bs#pleh^U`Q4L+$>{QkRgRtazayNcfvQ()B}3s=eJ`WtrC+!jzft^#AO#E^)C zV37b{cpG}Qsc-!fX*1@BE1%Q;^Z>whYw&q~FTmU9`Q9o44-F$m+4Jt3kINm*zg(&1 z%><7irM`A)JfA$M5CI-uaiTnZ5-aNY#F&(=h^Udp5F#C66AP(PR~vP7k=n$RP;D1y zh$u{G?jR}`d<>Cg7g?ZDrV4QcEId2-I;NKp`1-74#{UD>Q**H}*>^(-;%iFUnW%$~ zo|Y1gdbzl$p!F!J?>(bgsG@2vJ%kD3nGLfn<{x2cxW*m6o&UY~I$aM8LaN^MFa_M}Kzol1QI5F($AzOLvEtmxk3wUFYC7 zNxhtDMgG<+Mkn^P$d0KZIkx;DL564dMXo4|L^tF0l7hK}8fOaLIi14;!7nhtV%=~d z?NS@=iXRWNVD6x!d(WUTclVBQBmRyf%Q_(50}3$N0Fk|PP<*gE>~pqXsYG=P#F3Pv zLWaLg-a=HT)=Z3ZU663(R`xYFe1Uo=-YGKFMP{6X{lFMS) zwO>fZ7S;E~&M1p1>7CqY3Qwl8@=`0RHzCGU**>~F6>5Z#h#bPmR?N( zmCybIAO8VV^9IHe0uCUi6%<^yK9=Rt?&$Q=XdTYMWxa=*B2y;KVb)mF1l@!rnmM%k zc+a;D0G$V)Q#X7JsH+wnQpXZN6=R-mf_ zo^=9ZT@t4hjGzG-cs?go7cZm=?WqEm1<_L&G!kr}AhP?DiGt*_B?boOOX1gkR(Fe1 zO=U!Cu+0%VF2wQ#H5!y>IME%Xrw7r2&^-*XNx|XcMg>#u?UY9nT_Zf#1co*N$;}y@ z@Dc3gxzLE8C(wd;NH9i!=w!~r=eS!2bzLKR0!4dF9s(A;Cj|K)SPx7D&pcBQ>C8P@ zpvy^jv#UWV)MW@Z8-!;Q{131mJa8Ydo{8|6IVNY)-g`1f*^_RN8EH9Xsh0_ubq!Y; zm~~9o3F!4vo)HhveFT%d3(oiLE=R*ICRMO{Bq6vt;Lf0QUsu8nBXXsMz^nHb1~$b_ zKkfeO!DQY^dfLf>iMqb|pkGB;l47rq9M0KDPes_G%FPq4i8<7D0ot?tOWFN)^PRpx zZZv({%{y(q#2|Vl1vj35`EB-)T4iZcfIy^TJ^{bN&W+uRH+~vNv(t6SY8P3)3?(n? zsk;R>Fkg=H(eDn2C@ti|479@-)1+JMY zPgECKc<4mv*yw(tHMi}|426*WN0wA3XAvJ{-Z<%9sBd+V&fSEo~)X?jcLw;e}n z6IDDF6*bp5o|FCHtjr;1r72fatm*xFZvAh0AoEnT57_Zv z-VUl&;SW6x?@w2}rW<_Edub6&2DMBI-<}<#xy^A*JB|av#+Yv2mNyryWKTbUHkaFx zJ=xZqW+zrc&^Gbxb7qhCYglA(JH#`>Po?C6k=o@3Sn;a4p13A9{e`!ZZ>`g(*}bnk zm4Ulc{q7V9!GAOft{s-^4@u3JJic4gf|xY%FUj%p@c<(n@8R>4I)u{0h3x@yyl!{q zzkBG223XhEoF`rIP-AcO=6gVkZqq!^tol?esNKd_A3KPIm7~vE@4sTF@ww#&P-*wA z(}h$1qRW&5SIzn|=Sw1Z38$=179_;+o?m>{r(&<_6$UbqL#@+f?EfI|i(+ULdoG_y zb#NvatB65W&vb|dE5!q^KM;~Qt^}@IW-k3(=L6FBa_67A<|}!L{{r0#T+oMyrtp7H zj#Ooxkfy(l!0RzUL}RyW6QwfQH`&w7ItYn?peS)^jp&!Uq%IRvCx@Il1^gb=?pX+XyFDzuury81vce!G`m%Q0o5pj4> z^ZW4P8^^q`@s0+^LRGLLA_wPFrv5A%vj#5WsR*ue0H8Z!ry6;nf%c(iAU0I1BP|3#6)(8H&f4`ae zrJiOfWv(fq^?C&L@1@5Wyl6BYvoY3>8OGLRS&;h z)qsD{9v}^>6P_p84>Ai^caj4+UTUj(z-I@3)Yh zfd0?JH5CJA4wa!#;*&KM4S{brhtuR@>mB~$hz^M#op(S`{jXM;f{Tjz}u&- z6XLyrz>kMxC*i&?-(O?G`9i>Zs^Z&=9PTBGJ(_fo4WG|{N+Nwbf!CZ-Uy!Q41Oxv& zaruAeEgAb@80Z-ZKyDNu8=Cs1qoU()79Msf79o=V z9~Bgk4yY;x3r8z=YZ6X2_W#a+D6{>X^|b=VpF4bY?!?>Q6U}AY?WddDk{zz<%?006 z<1PB$(;24IEvE0n#AIOdpvobttW!7unUfAnlmW=CsS%zLsb}F_h>V12r(p~HlSAPM zjj3DJDAwY7G8GSh(iM9O8b1Hc{0o@>yR-B5mfv#X^`GtXs;?IHYN0fsVi}!kdiBe) zD?OpbQdRcqy*1R5^^Wxk47~`YF7hUa31+3#bTI&REy%-q*g7Swtq|!7XY>2MZzGrk zhC{A1`Fhx&g*mjf&{=D%g)x|pAgI=RYb%(J5C?1Q1%iFgMu;o2O&Sw&Yq1=0d{TQT z{>A#DJSuyzI_rDu3Yab6Po~xjYid}p&?on5vJ^3X(N5?~N%q#mus0FtO{!M2us2ca zO|DjxA&B23o1U$tLrjUHTj5+X>xjM!o+XGVMBtH&d<*etL|}c^Z;P+6Euff1Kh~r( zp#!X^8pQfuXf{b(35tZQQRvB}sP8ph_lv4IJB4CpZU zGZ55{RF`r?))}T5Q=V!7rL}Orzz1XXlOg-A#@z_g&HB;W54IuLr?t+ydCJ2Xq7Jq$ z&lzl6!cHn>7Hbg5*vi#v5Y{n>wn@aH^MIr+wH31_=iL!oD6oE^SbnN}aO?fk7L}|9tTff?FPa0!qE3^bBGJHpP(uU9zjzNGzc=9IKB_!QI#<^^oDbx#b1Bdcs zV6P<~@c`AhX8h}FqzOdQajRin{C*lISU{D9B%!gan*qM1g~c|5+SyS;8yC9(BJ?LV zVn8V`kP>p?BsjtoNJK1kI8SQa2#xTBL!5d<3iTfgx1u&#p#K0eK^27d36FCLGA|V0 zE-_&YYQilnM=w6hIs}xTKiU-*X{exa?8fT6~#x zXMEk8SR4v`TJqt5u;ED7=abe3qg%uQHkR28(J9A`{bu;DkIC&)m@rm~tKCt-`~uxc zGwZ`J{6Q)X%YREcL%xl;fFF3|+u(Q$FWAg^q}y=qMXWsX@y`6~!CawSa_cbJ3yy_x zC|sd=*6`L9uzDi&n+C0FVGf{&i9QD5U`cjpr;rdJ%lki0c4*Y>o(ps)J{37EsCSQb z7Cx28k;JDG_%;>VXWNeE5Y-58zM#7R1=}NvtV`q&bc4bZ>xq6vvyZwE(;l^^lnKep zK~<+pY(n%~Afca+~jk@}W+^J58#dfJlAjsN3Km|LcTE7yU?d7X*$zhMtjI^*htu^VIQL;f1%Z2YAfNwl<+3Bmmnyb~5{kkJ{RA(-D7*Em83 z65ldPz1$>1W)v4hl0hUK2Sfr*fDtm1*dS&L5UCo0qRM-kWA^>?(_EHWJqL^@|jc} z3nxX0k};s)R0h`3`h<(77&V9rUbWmWC7eL&YwC69g%&2-%J z!I{{yjN{!d|N9u4*S$MG3!Gm;7sgSur&V=FRQ zCh1qQD`XkWXe}!USJJkNPQe|$aR})SVv$^M6u{sdnM}hW*+PeEzuDYKu$u=~zC7BekNSUD)G6@FL z*W<}4Sx@ug;>;uhQ5!o!rmgb)-Fpp2tAgm~HFB7TJX#hL*<`uhCpr{=+fT-MLb34e z?$E(VG*nC>25AZaP95-7Ug$HPM{4^WHu}3}5dsA|P(}DQH%b$3)y%^$cs0y*zm=;P zMa|&7&V5 z4D7vcx=RIDA0f#EzFC~(H)+GZciiT54CYf3N()lGE#q`17 z{BSY0`^%J0e%C-Nc_{z>0(vtqN>Z-vB(kg~?v>hXyQAX_Uib!X;d`!c^~iLSZTw3r zs${Y|MQk!b@@!ke4PAy(>EJoN?akZO4%-i1s@a4i#mL2 zm+)3k$AUojqAj|gRNWXtW3LUvhPC?e)yBw@Vy z%}?CR^$T2Bem@!?NA;5$@@-{KU|^G3zgF^g zJ{~AN5V!N&xYA_8&0%S@%XPuOW%*2s#PXG-fChVZhxSm}W9_)Qi|OvWuME?Qf}Ve4 zk+#QM`{;*NCfa_BIJHnUpWYd9Z8}7Nx#yzhmvLQCR(a1b#U0qddf6Azg$|(DK$Eht zws@tbhIefzP#yY&*PcxGtX*Rym{0{UNwCqJhLjCfsuS)fxT~Urz7gD(_Co#YETO~krbKiqm(Fx zE`6YmT^blQmi;LpYbBS(6MC_38fksPJa9meCAbOaeBccBf+<=9UbPZ$O?5%M>^ zlh>NWSiOihi;id3PruzxqzEyg@7%Z~#`C?*!+(~c}=|UFFjfj z#xd=qh!zZtyNa5QJe{uE+&gkxou0R1s+-{#R&}BM*@ta-og^mPDj)+6<72?!od3;t zU?09z^%6lkye;JTH|Y75pQKw!aZgZ(S4s^>#cDu4ht8oQHu#;7(qeo;cVi?~eFQ^6 zEqcmvHm zl%G4OVOQYWdi+kwf`Ba4G7325Ke`fM{zC?#2m`Onw_TbzO0YGY7bQkR)ITC&Y)GXE zf;UfPm&lp9{v9j;(J&8&FcS_oJ&4B)tt>v*y|i_>2kdnCX8gh82ft#^$D&NGe7!pt z9cJj)dj3_w$zphPUA-!Q=lFWOS9OtrRsnd|T}*Y_Ik`0v}BWPA+E_3Xg+ z{v)^PGu0(Q(abYewVFQM#BjS59RXsrr~#@ypK$JXBSKA#%x8}!$VnB{R(zHV7w33r&3lhVILICNGp3$-7Y8v; zM(t9D1x43eExx}$C7`&aT6F0n)0=8P>D#CSiwf;^Tq zqJe`<-Yln$)E328$UiN{w_L5*9W>%hC*lM35R$l8lNcKo#+>4n)8^)WQT(;&M~Y=$ z_!$;fd^dHvX{|`rWHS8lB34e$Z+&E0vA>bM`jJwe5q5^<4eVw<*sy~)>5z-NL1XIj zB0}qKBUyVrSrNu+$2XDZIUj2+d%^=2tiNmqfrdHfHE?>zn2063SM#GsQ~Rhmi%F#f zm{9~VRsd!RLC6aE!?@>%nu*V2r%uvwt8)m>T@)x*nJBD9ZHk55X zdwKAD504Ch@+KNxgz+a~Q^qQ5{C)CuhypU6k;I=O)WY(qRGP-T%w|3@T%3w)nv(2V zz85=X@EO-VhoIqMKXec9$tV(thZfcBcVe^p$Cz%N{W$aZH8Psi(UCrNp?UdhVva&! z@)hEgA(fjwWy8ST{z|vN>v5-o2dK+FiP-#40Pe_C2lzBUb#`!1A=dD)pE^V#nJ;kS zvj}Q$;}o2ML;j#A-PI|ZD52pNCJ{lnFlVFiuL!w&a9&ux7jdl+>rJbyou_yHUrd)+YP{H&Mtw&^mnk5Sqx>aQL;)$W4V6)O| zbLm?}jy)R-FYM+XuQhCyIAVvgLYzyhhJZ$NDQ<4}b!OX&!u*c`$lh?mAK&F}cy|)7 zh!ko0^5UIuXH-SbU%H*~HZq4{GZ!Tc+pTew@Wsq?lZDOdojUGWb?`%fq+Kr-hVQ;{ z9QmODmiM&;o)Dhi*a-DyjvH7?%p_^*lU{$fT4g@U)NCm!PQ}|=s{ew~d9gjKe4-xC z?Z2RSRoUz#vF`VA4t5%I%DfN<;MV z3rsg3RzdP?ODd$pY{@N3QB>~*hRUa_K-Xv?LITZF$%j1(A2=wxl1%HY}>YN+qN~a-`GwjPA0aKiEU17+nLzdyIZ?eyIa*CPW2DyKIiG~ zZP*4qtk?#v$0q`m_L~m`@GK(;uKTS z)!{nurT18(#j!U2ZM+-{Wl!31N}^yU&0`f(TchM4i44Ww?q?(Ql4yUPISlB;C&~vV z*9=>;o)>&YHGc8tgzs>SSl48cLa1B9BP(C<^sBKQG8|{?Q>$8T_H?W_qVB*->m$Hf zIGVe!!wUzQvV?M^{C^PPW^2^#jDHFHtM{wiwbe6(dY z?jM{^veP|b-P`ikIc9o1Aih!4#h13mbRK#6==FwIbqdN;HO+1B=jEoIGV3#64C_Ee zQjF}kuS;X@YE1{cy%Sm9wo$KrT%Vs`-e!trScpF<&s_+jNz+FC&@H=!i{Tq@zTFhai~@ed@RC5VrIUbfiE2 zgqS|k5g&=WB1Ua4@-YbP4^P&iia}|BtL$mlY)SOKe{Xb8izv`ZpI_XPQCB}H9Sn5X1lo9z`#8~H(Sz)td;c+Z zs!}MYEE|rq?~~3B)H}U&*ZDgI?IH?0vjkq4MHWCXlLwz0(eh}Y|ETF7A2#ME=;EP5 zEm!_I)&GDgO-L&nB+MKt9%uvR7|t(Fk1Ycg(F+j?AS?v-?U^wT`0V=YW);v*7SYnV z@Nq{~F6Q}99cT7#I5(p@lAo7VFgb~LMD<|ge0SUL$JXCt{ zQjyEZQrKZ|Jdvc>B;{p_Lpf&R&*w#C8$L=Ph8)=|Q_JM@jAH=V&BBq$M(z@(+ zRqXc$#~K~6G5ksgvZnGwnPToz2YQ<-zG`G1sr*g?BlXRDb&SR0BU3_{%KXVMs zRlh1e$I7E3$bcWGa-cvtV9i3o6S_$yQR(5Ux>%i=HIHby3MH(u;( zrp0(AJaC(5a=DgVU?|vUY-82fLJ1kT`fCgg;F%sH15O=%npfJ-8|x2En!%dxn^+6ubl!?? zI_2-8)to;o4ye!KozkfvTPJn;Xczak-4?}+-CU6QJMC1jz3jY=|{e|+R z>CLIwB;o6cS|+g0SagKxu)}phXpwOCYgIAF6PID^&|7Oqo!YllS4h8`M6&s%M z19=9T>o>9V!woc9Z1QeHj}0RA%6IuMR0iKm?r zn~&D8-m#itf_D!TB9*)^m0a0fBGXwWW>~lAWqWFG==xgBm>I^g@W$}9Rk8$w>l*5I ziD@*1MlDr3MI>js$v$&q??Qw9WW06dxTlfO%?4BAB^@CMzQDFzs#=4;CZfq% zHh_<$kn3twOhCJhbOFX&C<^;{uli=x4`19_I5Ts@1wa&5#rBCNf+5zCUytL~5wV2K zGiIY**U=^LA)CF(=~nm4MV}!DC(SomDlL0rWXE7wXCb^*KyM;U7;Trww!=xj4pwD+se}v1&La85 zK}m8H$wj+{{vE6;6C3=Tj`v*V%hDM@f^mJ?TQj#rm(|gA*n4)y{fj=Iit~X;*JCg7 zx2|`(rt{IX+MvG*WQfckEBfWuPdem{c7$h#T}%MpBK5^$<4?9+Hg2*Azd)-Bncj8e zAEWvTE2`39reImYShvI32m3#imtegh_LJUxqwWeU{b~s@0n0@n1;DKvW zs8z^t%dzgO!zGBxY7dntsM}*Xrn$@KxvXi-_-i!G?ETjA=c4)%X(Z49Zd`YivDr!k0iXcZz&iRkNH9v^T1rZmR zQPkuIS-Yr2bJBSAOaRu2QJQoL0s3zR6kZ-X%OxbmCs)(Yb=D6iZpaRCMqhE?^Cft? z-l_zN;DT+(2#!9yk$-OoX5*|H70??=_cX89vRIub${K4C3tcD{i}Z^awseQ z%b^`~S(gG~8!FT(Nj6QCguAoY9vie!uCZ7SUUAfU0s4xwQe7+KA0>0j5YptE|dMwXX(WV@T6PGEOS4??Qe ztiFig3@2jzhYL(oC+LFy_%XZF%Hjo69=F7qcB3b82^v6bgx@hB(J&flRi_#JgA>Sn>IZKXMa_v$yV+Cuf&q z#ZsBKVRPS^A|CSPR&}sde>#QC&2YfE`^lRO)mcMfs_2thk;(6#Gs;}AiuCP|#rN8X z+tGv0?E-YBD{A@Pbz&MMO8vIXWf=u6A^7=EA#qZ;(z?4n3Y0Nr*>)md7fpi!g}Z|7Nl2r7tf?bn<6_f4z~ zBLqgp70At5b9AnR?W8hI{v^neNzi18=?8yA2`Fi$K0D43Cx zv>*tajF4qYkMIkd4+*4;*VCOv5o4QFz_?sxEFW(WxFHl)KV;O^=FaZ=4#KtMs{aJZ zfpFM^^niCbpV(Pt8HHPUD(eIuX<|I9W`Up8JFXBg80xdEY7dJ7k6jEqEaQ3^ zpwwgFiel;7pYG0PI|zOMlF_&FvEkvf2=}Q~Q%O_2C>h;&j#YA&V)quYkDJY_-UT1s-3VCnk{uV;hak=R$rJ|RX_zjYyQ?xBd9KT9&g>C%|jfy=JfdqjsP;^ zw)vOw1rtA3AGZ)NbZQ}pOB7gj=(bVx_U5}j^mmL3 zRZ6uNOrSIiTu>};-;nTvTk^JhBp)|3enGed&iePRC5C76OVcwlaYMQk2|b(9jca=6 z?sGQ=jK&?wef~~=u0f_-Qnm(5?f~X)^0Cx(`xDinz$xT(PWQo$Ua}yj5%1V+CVf@x zLqRvThj~j1_~WIn&eo3Pl}vs%dJu|a8teM#&j!V7MX`QHB}dq{W$bOEgg@P!QO~Q6 z9$dJ%l$`gJv?+v#A(vZ|eY4$ewa)g+u1ha3+2XrnaTP-cshVjcVC}dMxffv$4^N>MfzwD-kg~L4K_P6ZMDN;QsVf zkpWfnHLvc%yRThU#jnDT(58<3z?0f^iILEceyVQQ9a@!34ts-A!|aKH9C!&5|KwS+ znn_hFTkIyS0qfiOpGxz{KStVO&|T$xHL?3rN=TM^ zXvwpoa@njb3%@AtcDC|&nIugM_bNtHZ^J?}TgsLOFlCN7H?7e`SbYX<5d`?eAjXJihAjMKL*)(b2zPbognF&mb z+PdE;p;E@{T}g<&<))NHgk9Mf3(`xj-lK+(UA2odsbs;b8YOP(HZKodz(m-$rI6RH zJ!^hS1Y|>&a=g8*6Ue6=J8{_*m~^D}R>B||yfH6RaRR|Q>6dbVE=cN7T#BI{kq~S)%-U#GGIyb=*RcH!90ddlyTAU>+2J z-nvUS?ODv^VlAA`-GB*U_z!LAaxA+>g+8u^D;Y*xE^BLy8ej_7F1A~b4~W@(p!Wj12A}l%bsZZEmG-Y9iULQnkku$z+;oiq_2Z_U%-~5YvcOLa>byR9TSKDshm$hi7HcGJrNYad-(U4oAU z3=PS%2!F1A9k(}NP}O3-HXKpqwM;iNz435?`BTp~;L{Dd6=?%VOnO+mxqGWV3;so6 zG5yToxh25MM|gr3gwP3vl|UKM2H5_CH;r|qz{tu~=k&(a@%sqqp8fP3Y3g7rDc}6O z?fp?zjRgo$ww9JYw618Zkwr9e!}up=`Zt~)EoV0a^g|;9*MqaxsWk!pCFsmQ`MsC4 zlL*Fju(ge(qj!|de$WDyk%LTYqp7}@$0i?;8gGCq)@xYRCanf#z?~V2>h*4r$ssXmqQ>JIYYqVVuqoX{^7s{}61m;Z2u#!O2yk z3Zlw;bfWMilUxN!)={5w4OCFN-DD@RDJam!%qu7$1Zn#bmE|NfjZ=eTSz;o*u;R${ zZwI_R)u~4`BMSIOiJ)Mr21Zmy3nm& zuMw8z6miw+3fcIF3F`;8gf&zPe;$ZVp}~Y|hLcuc1dQ`Y65MMcNMbqwRdr9UJltej z{YIo^h&PQEkEScw)^H}Sxj*iA-+Hl%kdnRd1^bl%r@xtSobXf) zGcE-5s6FY%Ns(ri_;$n^h?_oNgmNM&w;^GtB)u%e`0E?hub~joYv9KYY5k!B-ogxIqH?4<_1oM<}LMfbmvB?XezNo72tKrd{ z%R=F1=*6q2MU8F6e>;B}8O=rXq_$m8?wVqoTnqy(q(2@n&MG$pRFRXvB-B<0f}4Cg zWNTTieKSpdl#BzZCPb;p+=NVrn(mBN*HUkR=)Hr^1C!%1E`mw$ST&U6jZCuN);gPH z=C2RO7Utf;8}P4-0uz?LOMaya2KVYv2MPt7(&}0MI{|5jyEHgNOX#lbD?*hxbyU6A zxU-Y}$koeR&U(#i5t~(RjgBYZomh8y`RklaZ@(lfTjBsU8MQDN#*?s)(p{kT?vNC1 z8=W;-$|9tU`iQV#F~X7N*)vBl77~_Ei#W`d@8&&B|ESiD9I&G;`MxBLOs82us#!H? zU#~bF>5zEq#FewbYvcTpMYmF(65sg&GreEf9CQiG|D7;RzDWAP#e(O1F6JFp=O!#8OWfJ9~$e6v;Ube3dj=G z(8hSpVeO$YxUf*j2&Xe}wl`z{eznDfP)z1B%LGsgBae|s>D`UYa~uPchgzcI;Zk}SxQwaQF9bv9=%^s?==MPviUsD(Q%#|8ZZ%q9Jt>2bj)lENwMEF1A9 z;0o~kTzMEJuo_RiUAF2&#OO_kj^)w1Q#v2YF!97B-21cIP=bz-8twAu#9mD9N=?|p z7l1eKgcwdSx&0Iz&+;u9D_`*K`q&v;3Ha*DVW5SFH6r7k2=>H%biO0<3?5v&o?oW3 zo#g~Hht&c0jm#!_X5X(yW$0%7_lhT z27f&5CAsesRJa#6P6VgmV8v2_NzPqHJK#Et%@V^ z6A{cqEw~n17nK5Zn}>zutWaA>WJ;cp8lbPTKh1^vSJwrL88;tgs8vF~q8m!8$zyLM?;YSXn;a_*@5J+7ONPFe%?DZVwDbD#xpIX^+goEj3zEgp-jn-A`P2@scF^k<-%Wy zsz;jeOtLn`!=nnW(loU2qxf=ghS*m({tNJ#Yk4E20i9h+Nm6wzZ(OZ#x~Ko&qBgaK z9ed%6!WNs1Sq9H}g##)|2v)v)oF2J3ZwO%l=y40(hCf%(w-lug4qho%3eEAJ1}HjuifgbQc5%gP^0Q1XmU;w_m!e z73ugXi}e%O>cW~9L9p99wp}4rmR!$PWET{FO)H;xX+Fn}z_kW&n%=#J3luhcAiyoQ zR;m`M1lmJ_!nKJQY+ekMqn9eG%NFa3@DKPzj{e0vlJ9mH0t&kLsG~)1r7MIxRxD(? zRUa2K`6=TJR6%E?ZO42no5}RI=XaTLL80UvZ#$xv;%?B)5xaMTJmv5c<0BijB>iXU z)XSXgqG3g9;TXKnl@rQBG;4%^goQA>8sxgd;<=&N*H-v1=@%_pqN&7#xI3Q^1jL#K zE>DPZS-(<;0oulBp14*Y0+8zJIsvKEiRJP!$Z9K22H0DrTtR$jz#PphW|(+#N{Is=>b^ z<)f|536wAgmZ)=K45k+n=?NoEV}GQMKL<*~C50Ar14+xoKT^rrwdiT&bs8nWj;&55!*=w)82D6+Sxvq#3!o^L<5=5;#P zJt|h@2a*PD`P)-4o{s}0Vl3x|w;)wxeYPJzyRa>+_!F5oDDux&OCQ8$^ixz9!n>j=}zNn z{n&GN18q({?T4WD<2r$?X6sI%v-5!6A}gDH zIB4)_#z9&=mHa+Oe8GbDv%(5)5D?~h8o5nnM81=Z)ftAwUF~?yTc$?pfc{AJ zv^!c(uKyhJh=hqWHWs;lg>;nAePmuWsbw5J23!)&jnK+L8ae{h*M2e1i@L>Is_K=lPDa`sKV_7vl2XtH1vU~C_XEc6w8@H@j?vMH!3&HHAo)(GwfsVY!MB;?bj_0t7(5g8CL zR;=S29Q12?J-9Bb((=>-3}KP~dWNRt;}@jAL^TN+_`eK#y5xT!zT{1*p3GJA@|ffd zG`Ts}1$5uN8lxp}Kbn%{ii;c4?$_PP7*~5lRED+-w$xV;UeusnK4B(a*G!{ zh-ZCaVEQ2+B9HDR;F~K?ygYzqJOHFbfN2@%d5+8An6&5*9BX)?&@h~!eJA5)VwW9t zhq8%esJs&#Iz&Fum_E}zK0T5yctk7ioESEL%~k%&x8gfl&!JO3af30~Y>4^EA$eK! zsjhO`vh>or9;wBQ)m71MFM`S8fP(bbt~-JQ{Wn3csK`y+&!UBg68RdYejr(uysyvi z<$0Pjb>81K->K7VZa>@kEDGK7-G+=zi)#e(cjUudy2--62LThKV%M7uS}^c5R7E7t z*1`3|&LSP2_TDhyf;vbhDw`h^#P*JUAEFSJ3CA?YM+gYj`ADZIs)_-}??__dX zHn}scP7k%UaXz^W7Z%~aY=9=>D6DJ}hM!25kWBUIR55J3{EjhfeBE_QS=P2>Dy=Zd zh3cKE;O3$tmTI2+kh48F76DuW-Sbce~W`7`h8D`76wAhCb4;7ztAV(e?dGWLC!)Z zu}9!*azLM(=n#sy4Z#t?gQJ}p?{TvQHi9c%!y3Oy{o-;@ViVlK)cDTaQL_F1w*iT~ zbC4(TYsET2Dn#39lk}E#+-8DWAcND5BvFS5*+G>OULHP>sspe$3R2-!fZ!zPTC)G? zC2;Yke;1r(WiYc)IXkHvE_Q{pzpQ__h(1wzwT^QOpj}tl&TI!4r4tw{ZoXTIfM?ana z)kSbF&uyuE6ZH%0>&io1Y}~uJ3#OlnLmop*Gw*>?odPDN2H5Qz;3PG(8ixCrO6&=L zL!IWg(wSFK+~M$;v4g=`IUEt0==wobXjlV-Oex_hjSbY_8)rD=Eb$u|pQ=FZoLEUh z#|rA6yy!JBw-zWV%NoE__kA@r4zbv)kv{Ni5#sN%Ou=6xhXVx48ETMqh>7#A{5l0T zuNh+cpaCv*I@#&2;pnWV%${q$>L8N5rURp#bcg77yzDOyXmI6-+f(C;^tg&aHt!WD z2I$&UGnf$>QF+CpoenTzfizvI{p|LMC`{R ze9JNAh}MNv>|H7Ou;gN9&LqF9%wNA6xf)r;c!18B*=1Juv*Q)Nxf_;BS#S@b0;5?R zYESLAWC{#p6Ug~=8VHHIH!xL?F2Y13f4)|O$C{l2_89JV)Es>Yb@EJG2Tc^oo^-@N zx@v!3WksOft*{{mNl^`BEBN9V5t;Ot?otRl_gJya<|F^hrpcpGB#h@VkPKx>zu{y_ zl7N5AD+DWuP!%~;XcyMG=WiZ0h8Tvp6DE6)aCGG%P0sreC?{owauh*c8|@<1O!}z$z4vQ z53rqE$NTgTrAsuMH6#wuGLp6zYp?lyAOW>M@+E`(bH-3WGgII0*f!Z(x*8nCnKCl5 zi76jBctG?m6YTAiQpEB^u=Ezj4wM|WcTz0WAUq11_D%*~aBU0AV##67%?E+|;2wp$ z({JSc8k@L`e~Lyw%E9dMM~j|S$W^T>Gn^9!oq1hx3Kcb$s_xorvuos0XXErYd4ak6 zOMp#TMo7foZF5Toj z#@xsit^LZY{`IG}xXY>rugYDenzG^a>GDTssphL;Tsf#J;-gMOH6D;oBKU+wP>U_6 z7)}ER^Ir3aiv!$B1faNzhUNC=_!dFXyi^_sP*QPJMxp6Sn>7g0fWx%nRf!|v~V zO`bl$kcMk)eu>P4K8KoGzFUP{-8L>DcON^;QvYKF2w) zaCF!zaa?0SBCtY%I`Gd-7e-R3{Bwp+Tg*K!t33t`#!=bf@qMhJFfLnab;C);UW8Jx zrvu90{}O1*Z_*g!tb?#2ALGEgPb5#^0}HsXEdO|h%p+V^P|db9EMF!V%B<; z|FO7JY|^Z^O8QDV9sxXq{;f(dPgcjy)*|vr&cDp0)^ob9*!0CJyM(6muR6S6z3;#N z%Y6rv$e(>ABPRLmtlhQd{ZCPG5bhSC2;CU;TZ~aKSE!uaCqgi}pSTdkd?6hs<4tL% z@%*5dvy}({%qc%prN9jTMGF0y>TY1u=~1N8=AO_QBJ)gu6x4Llp$_vZ0bC~6k%7k; zr=*vpWfojbMk}s=JkmiIOi{uQj~~T`IR-1PwheA~rgm+t>MZ&nH73?NPBIY8%=bjt z=zM+L{qK<2nV_$JG@%agp#AFM&3s_d!jamJ=5y_U2N_3`W8Z6$)!MD|PGe-^oylCQ z%V6|{TxPJKNR(uIk1R_HImt)3O_wdPyFSRjZBbI0Md~Dopo@1Ddhs7!r+gCtm+^C6 zn=-rhk2-Q5o3zBj*|^}(NMBNU{Txw2_*~5jl_$p8B~6W)!oibiakzO&c}&Ua9G`LHQGFFH z;T~@|!IR8gi*@Yur#?i-)D+RE?kJO>`!YsV6uSD0?k$Z3k?0o117?P3<76>+sMhLN z9OAb^=e;SH0@Q|1OShFL+FLEsuSDeC0mWrK!(_;Qci}3~qytBigY_GDxH0hDG?TbF*WCoAF^vRQN>XTP3uDSR@^40L_T?XL- zIk@Qeab8Zp5SnCXGyUjY8$D8+i%s&jH2cZPs zvaY7?Nx9%ZDzq6AGNP%ihZSMNoc|D<2QIcS$(T3M67O}i4SmUMkB0dMQ!qqhsdJ{}0t8lpw(OW45@HDQu?F z4idvyvuJtI{UAigg>?S}M4TP58n*Z_kzL!8;AaT-QEyvb>O^c_5^J@Qe>qTsL2N zZ%vIWBWe3(=r~le6z?Ao+F)e{G7jH&63(3_2^YB8IvRDa=Ag9p#9xSWyC{@8>@kMP z3^E5%F{8j%J~_EU~0tfk&yL$9OIK7gPJWTI3O?A$;n7s zpI$y{@KL;*gO8g7B;dHta8Z%tqHmgKVYc=8v zblGUj-RSs`Oim~qn;@Z>tVo7J%OBXCN9_C)&n3$ZdC}4S1Ad+rW8q9WaBxB8{!2F? zEGrBRozy+oc~%iKCPF_$@^3LIIzO%Ft`OI8bEd2bo6K!nfY4!rx=&!q!gjDdW|#5n zI;_S45cHJE#3aY0D}nz@IAYLXI^VS_a@Jo*DAwr$RjRgl7BVJTjf~WAlb-Uv2^-=n z>uu~s!@z<2=8H2L;=4qM=!xmuEb%|CmYzxKU9ySj^p8%SIx4$y|!I@twH5oi*ck z`-(YcDCbLl@Y4!dqt`1TgW126=Uz#yfzj^Dnl4%jFKctRYPxlq{>U1MWfY)DK=5|k zM7aISjU&69sJGHW{}gfGPAnYj_(N~6x%5J5<>WyWNqg$HV(^(KO{3|4^{_KBN@ZdU{%nCR(qmJlLonYS=!Ks&B)$kXeE?tknzf zVwRL9;3%tEqfvJI8H|hIAP_5ar%)Z8l2my5xO0OVML_ZNMe6=l{zIoVkpUq+U3$vU zaR2<*)`((8<`yvg#Ej}N1J%9 z(Ew5YQRsG*Y&4i~)C3EGPq+OubISszV(&Y#R7zx6+4?A8>fD~LNQ)vbOp&*r$H2hhPwdTbfv)Aj?t%++3AVvZ_b}VoNPH*okrsv@d(PfFhF>$Bcg1>EPUo1cZFzS)- z#ofv}UM1&}&Z6odImhEjPNGaOrDEEXNlDaoqwY>c>BncwxdadOnFwpYFko8fD!0Q; zHbOO34>v|KE}CMFx4&kD@?u1#M}L1_ZSH#p7W@Qr#$>DAG1o^(tpc|T*i@^X3l z{Ajv}OtNp*9z)aY@DiHO7)USZEb6R!*eZq z-MD%F`gOQ__VphN`Mo3g`ygd@hjE9Z#}>~s{AjRy^1bH~A3u4h^HHAqG59L0LaW7q zwW_a5OjB=-cIHpW>4(_;$6+yEulF{?*>Ush#>UFi^YoD|;NJZqw&0gl&FJ*^bJ%a) z&mODEV~M_QBj)(SHeg$RCaoMQOYLK~?7%8Jk9Z+~vz5d%VS0;f5VV2ax(;7L624aH z&mM!8d1p2c;N6gkZ$*#l5m!QJPk+R1HlD^5TtOQtWPM|60;3XGD7dBTXgN7vro;D? z;@y-nJ$lswsGR0W7G%R|EtbnXs~(15b2jsaUb{(osv<7sZt7M!Px1npx86Wm0dfF_?@9P40bO**xxSn2ISFe zwGuNOHzlMfaaD~k{Y&FoS-BssBmnpvfjnXF2&F(k^wG5Q6{OY~5ubjPaVx-T`V5d| z)G4vi%kPKQTSyk@e{m7c6uafNzQ6F`gzPwLDwv;PoQoY3QbAw6O~6PVhOt;ox}7ti zUQ-k-xjr%AJS2qS(PIq-+vu845gXT1JlSh=Dd(f@^p=W>uv7>OIH;~jHF)qAdf>Ru zPyYnmdP$xGuUpMoVJ8L+Sz6dCq@goljL|>uOh4rBcT4lxN5?LYPy`6gW8m>tB=b^TP!!gCqIR!%(rTTFjJ7L!xtqLN2KDHy_y`j3} z({!$~pvbafwy;2h`bR|**53oId{nSi{vkq!U0&@U3Q|My@5}3VrZi0fV+baQG-6!s zouLv&pO6p}i_wjCMCeGr^{!Ngu&zD2E>VU1DrK3K`O@k)U~v)GM#Iue z?VRx1uBv%R)f&67NM;xzAv*bN-=`wy=$9@)+dynDzqj(3sH$4lrs6Lt4~q!jdv8O? zAO7EGlk^!BFv#?dV$gLUkcUfaDCC%4(BRwc_VaQ0GYop5J6OjmU${buypqSg{W`2#>SINW2Q)g5`PE0|{ywE#YS8Et-lS-q{shO< z+KWo2N|6&{?bG#EhwcN-h4V1DtB68E-U4&HV(X0Kq(#g2%m)=5+|Ez3cY*94r{E{dQHBsM`u{M~^R|3IH7|Llv7yg1HbQs`VOHTcKwFs#8xR5d0& zN16UO=WzcJlF3C8y9@s+-+IALP)y)>ol*Dq^_@U#Oy$azL(B5V`pVrV++NA`v#!>P z-e;qu>z0T7*H3NWX9$;nD_&)x5Pfz_0JTf5(7GD3yaI%4P00xl&6tAA)~0^ELrEi~vypujjT8=Uqa*a|$U5+66mQkQ*6G*pjgE_NvdQN4;>x-c zOFpU`VwO3mV6K`W-@HMDk4xC4><<6Z^Fv-#)t*r_tJH%bVaRjy>pJLD88^nb2-+PP z0VmAD^pimsOoH_DPZsQ6($#^o5Qm9tE-WkJ&2PQ|+)szE_ajKouS$)t^Svgc?Fg~F zy3XG*q=I%s+pOWu&XE?l+6Nrz#`O=jfGXX-=k+92WYQ447qk1krBGNEI|7h4!KBc zzib6`m{7&wJ?FjY_Vetq-NprZPUxMZa`bm~QmK3R96@K#A9*jLJ0>PntWJ$=t_gU> z6Q$2Ay4D;5eEWEC{vndd;`_=i7UJugEYy%NWvKiQ0DM4$za1*>MdBsMTK2&;ZU?kV zmdnsw55g~76(yh>9zlje-kKRd6l-C!8L~EmB&EBv)_?f^a|4EA?7K(yx@ZL^gHu-< zfyJmWKDSxZ=!)BQHI9=}lg)m?l+3_tT{orXw#Ff_te9X29xa0_v-0TSw9e2^1v;t$ z$lv#mo2o(&0DZlJoGY7$lQy;PxNl$dTjAx|9og~#3|}x|HT0ZKVt0~FydZRvB!%T@ znH+Qm;eUU>Kxug1(1}_-9+tM6sXe*{I){s?Kzsx{IDB#GrHNc58#`^mF`zSF*Eye7 zJla}(=9)ceD1{Zwrd6n4+-$9dQBeuw>L87#DT^i9ZfUfddBQsD!Slsw56GEv6})uY zq7mn$1K}7AEspBWBw^2mLY$6fgA@}asr~mYx_=J2DR68!i(>qtXtF$ogCKp5y<>za z*xn4X?^^nbZXS)W`ILwN>l-a754I8`l=v!snOPGFCbd6cOtF`)#qtg|7iZH0qncT6JQWCo-#To1degIH2LY(SH z2DP3L348_~1exOpkrGtsCr+w(0p%ofzTeCrv5o86e?sN+oIH-MEV8_)xd{MnAwlHY zw-i8g)H-u)kWYbpq2S_o75btI(qnPQmw)YiuYhubGmtcvt+FJ?2~u&KAhFHvnDpdM z`6mn>XhJSCTTzJk_C}jYN`MZ`e`Xy)L-+#cmj+^QRca%AoA0@j{i<$Lr;q?SsZpiI z;8%~3mP%Z^8=8i*n@xETNEtu&6v8U;K(WdI0_?z=k58j-;?CqnVz^noM%q5%#2`K-}mj;xDFc<6aOtK%d+e!tIq4S3ENp=hrs{n`oV@!imB@2dz~I> za(;4@n_!T|c;X5YbFw@k^5Jq?PJewLqBK#aE?j=0f;|y{fpU}|83r9@>`}klQ#`WE zsv#>GFf9F8If0j);0DByVK~9&@RHa3tm>W{qsQRO++&c^gOdWND~<@5hE2nWxSa7N zAAD!FHlGWip#g}FAT*SsLL(@V>#Ujfu7;w_&A?~wQL3EK9rx(!EiMCa22@so9^5yeSBka&^5(86Cksn+@?X?ldS=^QI4K9aWz;$SaaVMa7{~Q`a(r9UMyy4%*eNOg>+9u!o-^r4bj?$df|KN&HE1 zJ6RL3Ptns^{p{5n9e*+n5~{`&p*sjbs4;&yy_=l=QwDAn_$QU(qw?I-yHyYIX5gpj zmPsOBdP2N<} zSL0>J-%xcpM^s(iW}}vGbk}8@(RA;Q05fEiJhKFh-u}iM;Hx)#cB^Y{Z6AR&rKTv} zdvwN92w~^x9%(0s8JH*aUkP>x3c)-i91jNSamB=uD|0eX(_?}X=t<0<3y>A0_AoI< z)SnB!Lt;lz?td39ePngI8-1dfrJmzP(FCU{716~PO+T7f@m<@UfodKct%G@f<@3pa z7)Qk(U6HC-fz<$xu@eLJSQMa(FUn$rB#<t41vidrYXY!m zDpY3fBSMB$29nOt?()ULXh=DQnaje_xt%Y6tN+1G^_LcpKOF%UaPacHx zfgt_Hik8*#h1Ym0uz_+uL0G61cc-5Qp%8XW?!y9Ox|2izD_LA z%QP(1!zI4y8&Nj-{KYh4=>K4t#0dlAPL9e9*9+j98lNV=OiZ<>?n*4!l1XgO5wkqY zsNlg)=i=T9mP;Jhv$YvapSZ4%X0S;pbv%9%=Z3x_7ne@ZbDwFTMz!CsW^>2!r`wqej%u-SH?v`hyunse z;5Fu=`*!AN#YATb8qMb5FqDSe6CGq6+H$ zZHRTjPYJ`DGAUo}g47F$XE}yPed74^QK0&OWf-EtI*<`qw&6K&b=}8g!03SZ&W;4? zI>ZWsQ$*;EI3Y1z=a7wz1470UM9+XxJeR^I12i+35-<*?2IryUM56*vf_?YE*(ITW zsiN>&c-Ic?DO(l-sEAhVii#{_d5n#_M98&6XNVB-XzXPnO;3w?$@7@!5g=CJ*mUln zbs^N3ZwnHW>r|Y@TkI0x6xSpx3x(g&Ix=#kG9N#wu{nxSt5o~&DYtx=D?s)mlL%Nl z$~^Ee=VIu$23u$VUSdnB4tH6h14Oic(&R=k6HkSGw%E5+^5l-hn4-ABJEmn98Am=M zwiyVl_%8gqfrmsm?pz4Q^39%$Z5j}23Lwf&zWK(oGF9tdRYDoqC@3ax1q(gGe!fR2 z^|dG>jR=;Z#H0b((kG##(%PjEiQW;pOj=90KE|F^n@3Gj}1lqbn$L z7@8j_Iw^r?kl~>Qk~SXKLb7L6RAn5Bo5PAEoBI9W?iWd-g#-xokS<72H;%pNd{umi zn^7$#^^p$>wbV|j;j82zZtA*-64r3lZkq&H2s4sR!%j^Q{sIZ-A#J;Vf@+{#zcLc- z8qy6yX`FCYRiWyjw@LG^U8*$mzQelC0ufYJiXmf&7|`#<%#bagmuydLpU`;7* zKDKCms04BhuE|+~K^&F-3T<~5exrSPLj0eH+ZJuEkvM?aQ;_8;Zr(PVLd`yXW5PPo zrZ_38%k9}$)*|C)nMROD`<&XQ*dKkQBOY$(xPU0VNcI=&jzmu(S0?8a!G$ynY( zM%?O@$g#|mK2?21zDm}LH6j5!kV##%ROxwPr-kld>p()mwda07K?1FAl}cAE(p7 zu^_LGNq`r-$y{RNtT~VnGIDdu+C18f{G*u$hpt(YlS3-P|5@jItn-^Vc*;1@Yy4TXreF)GVf5AWh%i#p5Ergrpu zw|>t(>MY+K3t^rcP@DPG3v8Q)EDn~TZ7qZ4%C)?Ivl8Dsri&w00pUjm+0pEwwSPd( zdkMu#Q?a~W=~La`P7tbN{0W`9#P**21%2fa+2W&^?+4S@8&=A%_jD>> zow<46o zXOSCO+2QKbPp*~2_&1izL=syknsu=JFotJg-Ch0b${i~v;*ny=i@VGfk=E(ods(g= z9w+w0$_E8H{jyJ2!nHrIL;%{+h(!9!QP!lgI>@q>x&Cxg?Os#u-pt;ljmpLg5r3WZ za4cklNVwcqE$;BAl^@uRSqw}KRq5x>JZqWe=M4}amw9fC07O3g_v6s2LF0?T+Yg|B z{7IKq1N;wBjfS2-&7(uF9R>?iPn7{_D+7n;Udz&~jkSlc;B%;j(>t zY=L>;+HB9(p z532opx0zT2aJwc<-6@#F0Su5f_nN3mX^5?UlGh@UOT@m)#j9%DA@N9n0R6iRC)jo0l#>$f=;Z8$g<s&5%lPz z9UhQsFk1_Oc^LtabskrV%VX9($|auc)4)~ABEN#FoK=|d3q6dyh}cp*q%Fh?RdPQ%Hx9Il zG=Yg7IVuoyvz@sG5YP~b(y)d=+))atQwn$(`m9n-ysoIg4u9)a47-~&(tR=e1Iibr zgLI+L^#fB9aARspkfLe<&yz_2RGt@N)T9k`4^=>!m4iRN%9Jy2Yv+i1Ph1p%!+Eb$jS6u!;?N9bIC6luha z3NKKlq!2=;Mu<_RLwTVG_9i_rXR+V+smF(Qubp$2et!kzUf1iVX~>M=3p_n&xcQW) zlhqH$w%XO{asRNefg{40g`SvNb7Yc-ah|-~GY||c&~JIaTiAjJEKCNmu4nz;5e{gu z&3&qqwgZl2NYm17I#mp%qtEa&gPBtCZrk>&umdn#oh>%5R>qHK>Of!1Ts*)Sh179L zp_uVA&VRi~V|zd5vySi+$TP-sP2Y7>SEiH>zImyk(Zw9(z%OOiwQ`1~&IUzM;j9xF z?5oRzESj4O%NLvp{^VIUg5z!!4CmPiix`eeUd)*niKlqL>;Mug9CYVA0v`@)kYwM) z#OQ)Nd@$n+l(}r3Jov~07ytDK+40D&ImpAYc7Jk^_j$siz~rDmLN5+BzfpCA&WH3N zy_cG5y4p|*4!d74+u{qwG!hU95Cp?;Ke;>VC%PFvb12qq*0D`oc$SzkCJiH8x|F*| zQy@>|LzRCPkr^D=D+&goJ_ch=mm6B`Mh6FJt25pd0&-*|CP|A%bVho3mFACbd``Qd zu78hu_5VoS$q01=wlnVm=IQkUbKx!)d2+0AFtq^??Ee(ys3o85D;GUO!0-5h<_*E zqZRxuJd7&9!Uxa$E$X)?To#7j@AdnI^*fhG2{4qI>NVbC6#o`gT!-gdinweVIN+(& zFt0$hrYQ!Iw_9a0cGtAO7y19sBEL4^f}+)F2BQH$)>Au}y6}w8Yv8YEia#&(+#E*E zli5gLIE=+9@WzwGHP8F9*W^U^;eTW^thrTvm@*r(6;z$0DyRsVnEvVoeUAKctZK8- zU*Fw+`2OejKYaZ5Z$Gbr{(AkA5dF&%T-cGYP>kT}NA#jUyk=3F*4aMvodR##oOIzv z{B^sJuhZeMAGw^aXkq}*#R~wB?&1lyAKk#kn_iGWHq&;Z)Cd0l7R~fAurXly7l8Rs z)`8Ew@K^t0efbkS9&qsohtaQEY~_T0^5&nV94`(6VRJFj1LXe?`<&R5fk+dV$-n^^ z0X37MASjm#!2u8gO8}P~!2vFRh2Db~df#4rb$9WPZzAEPL8_(p?(V%rdP%elqEvZz zx%b7tv5VO6i)<)|&EiTXOaDiBguWwh7b5iQ_K`l^_KU07HyQpu;?E_~Z)|BzXXzyj zfH@6Uj)z;b_~q{Vi+|l+{CXikuFw-CM-(Js;$@qQFTaFd4)pK6Fi`1#(%ZUO8!ysJ zc$VJn#ZMO@>l7aArj}k3q)8}Hw@9UdUIImgftCp=_;VPCSzYx-HP{>ki$njutv57k zTDy?3|5VgN#}xB`6bs9QlX~24BE4P)S||^s4|E#6s6hfsCh=a236G_aUYx2RmhvSY zFk3`{O2i3|I8uQCjo-x(gq1-a$;&+E%7lT0mmm)Dmsr99OMiq2(m0+7__b|pl^4|m zz19^yclE%c z^J+9*y=jUP(*xhiIs=>UUe=i1{LJ2mKDoBNx7~s46 znf7K?KTRXb^nb;uSvO>vwRv~BS9IpKs@_h;{~$lz*nQn~LRR@WVB5DAo-Q+73uq~FwCSFA9Wklxokugc0HXX1^k zpnK(TRZ%gYreThUm~-@s2RZC0z;&(bLd9z6X^Qj>FMqtQ^0qE``t|33cLC}p{A*@l zdvoC14}Hz7Fx|$jK>xY1>_Wx?4Mrc@%#ATga;9zzv?Y#K{EgMmiMqBe z(@jqlLl1OkOJAD0sCtxKhyH&SD)ga4F;fWJiblId1pRm5{S8xxj=5uebK(dM(FXkw z3pVrv3V*{H5hL-7<8WK#c10#Xr{r;j@d{^rd{(cr9d=U39|z=6gKj{mf%VgMTC%`2 znS?}{rB*1j7Np3W=;{EV8WKTr_$n1FHKQ6Cz&APN{pLMD^*9Xu>zf~RG|Rd(siQO- z;}f_^IHFR0G&3Qln>gQqBwfl}`Rf+~$b(dcCimn@;N z=x1@@dw8%W$U@3DsdAcPe-IW%;Ohj4lV*P0yFR-}ne|Hu8(Y@R##Rh#8k0Sm2iv`& zRdz#OGuwu_3{4K@dJ^sW*~wF&O7T2>p?^Xhp#{btXP61aRUQ9oR)G(V{3Dogmc0jV zJ@23crg;NQd9zY(s}sc-N43E_v_lq1h1gZbNh}`XlgE}S2PW{pKQAeM3Xm`DkEkLqIzGdKfJPlbC zrCE&#iaQ<4M{r`_rU(fHdli!)Rey|r{<|(53xJkR4b1@&r$^`=sm{iF+N>Rcu$!J( zA6Cz;ui5(g$Yz>6aS{cZqX5`^x+Mst70CxAg4AwD`zr#;p=epijj09{jH6eh>#Cna z6+H|LZCu}Hsn2-)97H%+bMBGL8G0?)M})|n`NsnW;g1nTj1x)T|0l4GJ~gJ=d~H_+ zo++@(d{x(veA~BqBi2<>GRqPbEnRKEFXJXSZt0>hry1s+4ny$ax?xY2^e#h}Psaf= z9}A;BHid3-ib+KphbzaW9=>4x|TJ(-#!@`{IP#KxtBN z&ZEsH74wp2tOjN?Iz%2gZ;>C}xWn6#hsETy2utS0jtv3tJQIg!2mbi@~1B2 zTx|MoRthJFr+??qLLv>{eJj3P22qmykNfOU29bFFF!UZCtO~HuiT+d0LLz}0GlI-> zPm+ zLR)nMR5a7C|6*sKy@W5GR_1@5#c)Q~um0!k?7x5!LN^Ki2S!9t_QC{kz(3cfasS#; zXGLfrp(g4m^}lt0=kfjB;qO4I7H&aKAHKn2ZMuH0!S6O~|CeD`F%y?m$pPDcGq{|V zJ9mfsX7l0ZhpRu|T>Ww-fH=Mqs~G9fEavfQf4qACfv<9a|FGgrrOE2vojR^`l)$rG zy}SDR6(2LEk`ZHgm*9-6n0R_!cD8X`R9RPi+9@~>A653RP^NTztY+6uu^W9Tj5yDjcs0ZaIg;dX(yG-WD(j>C;;D~PX!F}nkg*cx!2KhmRXdb^-)xJPQ1a_@p~eMnGTg9~+j)g8e2 z{K|uV;*vY0=$e2S-IQFA2-tw{0TBqcEgTl~Sza_~6y)0kDq4Ih2sUop)<=u}bU)xc z7A;UkW{3MdqB+#X-d|AP((@iKt(&}Mo2^dG`kn2%;iJM|c3+f#A&Vo5TD77%rRnJ!l@i$tSRq8k@0zUIPT;ueN3zAA@8<4qI41!N}YeN|H+IQfl-j(*)x{!NzXC^RvFo~K0{fJcq zFCGD}zo#@$Qj^H{R!hdC7(}XA68StY8JsXv3}1|C^&Yf;#@GMY^;OqXlK%_j_`b@T z$<2$gK}BAvf5Qx=nlsivV%Kp}0hb6FDJ4(S)xxxK}MSzr3Ax|%)#8((lC1u>aN>1UHYPX`z3 zJWV6_B)}to-y-)&A;o|MC+<;KWUn$*GY##g4#)6b&>FH=QHpiv)*UFWn^s2BsB>k6 zS5cTjaAj>yuz<8DbCw~2D^S*{%=Y$ZtHmNC0owIQNWU(5EfgY3pMCpy^fkj-RN$?i z)cZq0QJfAq5ED@4Lk{WK3RSVE}0h5#TxEKmw0CI4kg4(syK+e@RAvh|3ws$Cy?t<>FH^u zXSjfiB`NmzRI|OQT8b~Y34S+<))OzC*+$#vHGP|Jon_pY_KohKkT6FAAR zhvnA}`D=&#-`63#DDboEgMXgZZ2zy7A#3fVKbNSchZvuHE-xGj|7sbq}ZE z9;YmTIopVXs$xFsc-<$pKQ`_`34OrY%<0JO$yT|o_Ju|Fhraa8UGJN*1#fSQu6Nnj zxhdzPE;AKWU&xgEmNKQB_t+7^{FyL+L(+t5=Y%qO?2IafXl^bzBVibIlSBkYx67zg zNc2fG!n_;;OrkGf^?W;trk4f@k%8tT`fQ*v$ql5k|Q-BuG{4VMXo2l45OJC^D7xe|`8v6_W9Mu_j&oymH;0c=!a&C=bGcx*Y#7M}?grM^)%FDF!;aC_bR8%~bQnm*(NBn7w zF|@OlYlzdxTUUHRO9>Q%=lRh^>x#|^#<%iwfyc}!@jU;C;>*bjDb2!{li91$OsI4; zpE*G^K{?`owtS#(A%Rs8CP5T`k8vkh;0<1aVvm)xACglwUEXZVr1n5)Z)X-d;G}vlBF5R1uo#&<(B4*4|<#Wc5 zh#xU%D9o~s%p2B+P`cn|KCaPJC1xJ|wL~stI*(W#6Ey}HL z+q7YvM^lx%S;B(bLDglVk-1`#`3Bh%YNS@!kcd$6nez$~8t!qx2fc$D~mMd%suEu>M(^mwvAox+@VsiXy2i*rT$0EKC>=&jIiC0dQ! zRA9gGNSv*~^W@Fq*42^wZm=B z8tSb0?C0=_SvzM(ds~cs5|@{=w1h28oi*izgrN$iJ-U`N&@Fd@YUH( zqSOlwpvxLJF;nV)i!`p75$WaX0x{Kunsm`u1f6Ri4%GhD)Dzo4uX9B>me&L!69K^7 zA90k30g7qNrjURVKTVtgsUg9u-adSbC||$w!}f<$H;mR#2a2xamc+X&B-f(zC5wgt zt7bnUh5iwGuE z{|(+Ej#LVl!Vv-zmo3x*7MGSd11o<}J#WG=5Z(PNZnUMwJv)vaub_g&P^sVzF{Fu0 z5lKHn5P!esTn3~LUGDkb`JV1QggqcE*(|Wfg;C(8x7tE@1E;~6S{h$M=wQp&QB-_7 zwCDajh(f!XKPNy=^hGG-pW`tPHj^kS-n7?xyq1T|uVWvZQ_Ky@B%YtIq(Of>Vi#8I zDX?#*(p?BD-(jRf2kpSNJA^LrD?nNL8ZPu}0AuTfJ#5$u!(UG~ywj?T)b`&}f5u|8 zR7&SmlodsZE}59WH(z9<;&IHrv=oIko-fJYbokicl9-CgX4lAOP%Aes&T?N71!FiS^Twdl}zrbY(eTIAWK}Ymn{r3 z5T|0sb3yDUQozq{aQz zKEjs71!BDmBDepE9V*l`ow-&vO2VoC#c3!|`_eo=3I7oK{)p>Nj`$wQK|>gqqb+1r zE{Ej6D}tH4fmAK6a9+1XZ(ez)PpZ_y0)LgTt@*^BKLTGYTzekKqG$qExKml}S_*qC zK+bK5yfUOPK`n&_`GDw_Fm$E<#tLoI=6gA+3Em-k^`D@WQ|KFP4z-5RkyfpQ>VMi| z%LqAX8Ugi}xj<3Ol-%pJLL+fb9w2I{rwUtv?mcoH&QejEdgNd+` z8Xgd$Od&f!rsD%+(&8L3%jFoN4@fWO%KIq#+9Jjom<#8|gdf|JwpPz3CeXJELP0RH zB$Fy-+7}_W;+?e>*U`?&^8v>bz<)5;&tb-J(&q9OlLBcaS4hQuRho$DY?OQmJxIGz z|1;?p)<@W}^~gRW)m0Sbywdj7(~>t~ZFz!;d~FPh8uEaO*?o0O(L=>M@SQL}2}&7! zccTqtsE7SC_0IrhGSfr39iI5wlEEETgyKhJyJ6#GX5fhQ6iy~GVv>m61Am-%uoflG zzg3GxJ8O03gwB+z-gJ{(b!8E8Sy7!150vaEY>fNMfuja2OFoxuj3R#bN3KhicvC-s!vaX@)h>ZGK_m<#IT1kvYuX1NuD`QC&8n&e6Q zRd6R_0?&nVi)wPTgBR{#g~#;F(1kbCvv8?VsS{nxrS8?YlXuI8mu7MFgJQIGGtY z21)3rQ+BXyY;g76Axi51A7A#;dh#+|eZ&{uqK=|8K!&Sug?BayN&14Fe%Vn@u!s6x z0_I}bY-DwsYHhMQA&0SqeXOY=Qz4<lLNU78b)h`J{`2iz0Pj(!DEmFuS{hq=rs&Nf zGqA^oog~w#6^uvrw1f&RYRl&~`x$wQ5>A+Pnqx%)-#ek!Co5--WzDGZZyChh(p6-+3G>mUYEcA?#`TKc`o z`YT4OAXWUx-CW5W=YmzNP~cuXq$*cx)hbv|9(f2lq}|@?V@#GEQywQ&)q$D zyd!;Y?fKrXv!5?!Kl~C0UXJoKOudVh7ljM7$U`rS7bwmn@1pcB=V#;~nM+!05gq8&Ww+;c#nkO_cTvY0FLY2^BwR5?EN=y z^-Y19x#4U@*DW}xdpsjON!6p|`hU8`j28M9vr^xzxG3nd+L?JO4&2=LZXhGl= z%eOwe2yPiC$j6p6(!lRlkcr?aO|=NNC$E*X>R9Y>@Lnij^L|5CZDrx}YOII^=u_oD zNX)DY(*fQ<2H6AEq5Tvmdd0Qc6PdFFRVl-R@H<*nW0S23gN!Y0#DD5?V1($TBv3I( z6Uuz`tvKl>zO7KXz+q*Nvf^v=zL^Fl5whJ*mipeA^z6c#D&v`;yO#XA<3KZEs&n(! zC0J_>tJ>8w^56p|dXFnwI_{{*EvXFU`&HPt&J(;{o25T{F@>jsB!lT+=qcY=5S;ZD zkf2aI*+rHzSSf2AAAeH`4_x8hy45(Wf!t2S!={=bHFZEj7YI~A44nQgpg1;(Tqul# z7s&sBBzBP~3Oo)&HB559<{Kv+6Xo~%qvs$@48r$BELF8`&7tCTG9jrEa!i)(`o9s8 z84<5ONkQr-^mrsInzRUCbQE}AHuRy%vaq8-e>fT$K8(NG0)LOxh;HTV_C$tEjjA8) z`V54kjJP!0Zg$O(w0fT2Qdr<{HPr&=0&}{|p>${)r(P_0UAIuLLm6T7?S8E`QLQyH z)PP3qXJBfE$tPTIE33iK;2DEKD@WWyW@!4Rs$q9q(xAs$`I?t>wd);hO=*!1w%w3= z+!GrmmbjsN`hQIXde?Vy{BE@Aa5%=-P_XaLwgEM?`wmxI1}c=62Feg$TmfAU4E)!H zNdRde4aOqw|~yIo2Gk_to!h<%1M{U`?{al%yDHil;2ezv92dNtwtkCt1c&vHB)PZW1i>r zc|Wz1pFFsdIp+dVx}$TcyW@VJPbijH%pMa zhZ+9>Pf%CWm%$MN6PJ+e0oH#2=G?p|9<*lt>GsE)?{9DZccVZq=_!^Y5V4HC{CIQs zNqSqL|L94f)5LqESw}C368M(hhnxT0NP8wZKATRwSfsI3c(y>NB1(Xwq=@{O5BQr5 zWnNcZQT1jEf`xLmYw9DnPK{an;p%fy_pPO5d!!gxrbueH-9-8*5s`n=9!M{uH2C5P zVmM?R4yTy#NR$tsRH*2SJYaSrVtv6O475;i-YZzxgCGYT>DL^D)DO3KHm%ebsShmE zNGP}$(tc5OrrBk=`Q236eF--}Iu!vh9e$d13Nd*j`0Lb!;3OfEqRNizcY0kLV} zgaQk{{UI4krDPx?iDrL90^K&fnXn`qI#1syKNO*#dK*6wskBD)ZU@$(!PLn%&hDaP zIN`3z+O+~xZ^(1{yz3jo^Ru!s+4hM$X05~39|g~Cd39OmSy>|2r**_06)0#2;CDSw zIW_e?sE+3lqitQ`gukx?@-HM+hKw7gcQsRT+~$x~Y|d7kYOR0e>Zv;v)tRUHZq<|;=wt)(xLcrXmGRMZ#= z8m@MA1Y*FUeis5bm6tzY8Ujf8J)+emUvx*yR7nNy- z9WwqLdo~^0s~bD~8Sl+B_jPLtvx72`jU3}fc084K=DmNjCTLqHsVcBRrm*IS;qeIe z_{Lt=*o$XpYN{448u4MXF@MlbAkD7WFI?Ab=`HT2!xS{qoqBK|9Z9&IyzIAd+cYve zL(6k^WR?w2Io7Onx4biaE@wA9t9v@R)op(|)ofejCOGWWg5wip0h`fD431Z$j&Lg9 zK28J^FHnEoS!s`P!k*m)y?NO^FK_CUHQCXC;LB$74w1!Is{)bA_sKe-yRYjl6Tqo0 zja&dI2osh2Nri@3XeZ2Zp&hb?uTO&x?Q40e(`+3IIx9fpfEA@KIB%oOJ9h zh6gOKhKHxX7=+>K=PIAhYaec83f>R7+@P4{J)}XiCQ8WnDjTE9yb^L<+A{6ipyZLJDNj@ZIw$`dq{fd=Ui$oZ7H;Vuu1r>m8$H z;6s0|rNilcKqRwy8vsY2m134HDA)o{0htY)B2s*)Igt(p5-5P-Ao49{=mfGE-bD+4 z%Jrww?$%e zP6V(EN~O`hHM_oKT2JB>rUeU9fIjy%LJoh949JHaP;|B@>_!G>BgU}>X-0PK7?W$@ z;tAh8W1C?deX=_!glV3QPy*Ps;FVq0KA;(-$!dfbWIypm%9bW`nY2Q99xwtXWG%1l zKyB@A;O2zm$OZGzp(#FKhaEiz6g<`xQh_#$&vrA54Y3O};Lj!p^*gpU4qCo;c7lHi z5b6X%sI`%~9(ZS)A;sZp8P_r2^5ZcM#$XSfskqrwc=svv@D^g8XGI3jg zLbPcB@R=}?_!~~>CaX7&uYW*#*Tj;Y&yVKs2FhJLk2X&eWYQcyCor1GG%rw_J@v} zBV8yPUDP>q;@(_CxM@4tyfql&JL7u1{&SIA3PuYS$z_yE&aZ4iJ=y70VqR+FcIfOm zobJ)i@=b!5)?A0sB*uWyS|pJkAX=!e1JaZrA|!K?IY#Oia2eu96pped$j*N_Jf$N@ zVylH*&1CHp57Gt0cXYwfn_n=H=z=4gsizZlWBL=R)rYZHU9hy7cIslpb|KUDV`#_P z#bZ*!Wm4^HcmoYl-vXAE;lBq{EijH1Jh#$U@pal8zZuYVBFGi3f+^4AXH=SiJxpzt zb0Bj9!SHp6fS|QM&c(JobeVs_w>-5z8GOR&5Hqi8i*SJCxnmQL9gh{R-}2X1K8TCW zfpHp0L(%Hd3Rk(;fF2wYr%h3xM$ukqBgn0{MK(bGg0ot$n?1BHOly*VGJzE=S1!St z>Ws>07DA!ldWj3(8_;E3SxS^pfMOFReQdGd$cZ|z`5qp#$b+7pFTQ2z9{VL{ zQ;;LR>}-+>c6QR6%Ob6<4g%yrjvrrD=7ex3!Z7-Bjgp=M&z3o_VBrpeMNn{!R~%%q z>O93YI9!lo1(MYGH?Dt6IF(ai&>~eldgn`DZ)5_-!1Gl6CQRRi(I@WS{ZxZn8r%EO zWerse29DJF43A8D#$!i{P$bKyGOl1=YbxkC{<&6m8Sh=Wh?5)i^Q!H~`7$O7l#xNB ztoQqY>IyMqv}p%V+!l?=yK_vl`4D_L`+nYRRjNb~CRdp)JUoBEN8)R$^H;EN2Z=T1 zD_`4e6^44LF71{pYwgQc_4Zsq)Df3^qgkO4>6NWbZ%qdoIu~uDg{l+~XUR8Z>#qED z9-mZ>fLibDPFyUGTs1bb&jM?Q{4Wm(vbeRaq}eT>DWxry`u=P&wEcpuVlo@+>ugnp zLI?UPTm9=S+(CbULm2p9-&TEJXca$at4mejN%Wl=?mrz8eaETm=HEqSZ}R68UKktK zvwr}BL}38Whd=%A<>EiF5UiB4l^kE(je{G*IpJ<8Oaekox1IdPbWeR0(WOJ9s5dsdEV)g+R0Wp^`buuY` zwOHG3<2Dd|_gCnlKy6^f@Ft21iUNB}n%!=iCfc$EZXyqF%@);t}(vbMJb5sV2d= zPLn2URui9wa(oV7cxqm<^Q-=NW#=zdrs7K32aLeD9nOpm zvuAQM{V;kz9o>xx1cyE1g*pz7LL7R@YIJ>ry*bQ(@GxSL^d8KrmB)pI@65Xz{W-$! zU>h4kB0-oJk^o5}4%!L9RTy-(dNw4XULXQkAP?Dq)d5D7g?lyv&JdCEc}CoSVYH3t zu#Mfrw28sn$U}ZN$m?Wdj%W~B(3>j0RmXq~4aQ|&&C&YNK3x0Dn|9`& z&QH!xyLb29=&l=8o1N-gyjo|<8rV$VtFlUq{6@0@BK0Xl5ou}IaZoQlSM`J8oqo8g zH^Q3)l8M&iSg)!$t_zx5g}q=-lrTz*yZjo zsNKQ1XaGaVSrX@V0F^q;CSdH*KF9OC7|hJlzn`Fd~9Q5rEIJVXJm!*s2Hj zsnX1N*hF9k)r7!xw&&8%#lr3DFjsRsbE%oPsjPYmiihLh!Vol3m5ZTDS7{cP&RKEj z*o=jU((Z<&e+yTk25u*(0+Ar>mla(+bU7PC1wnR~V0{=!h$2Q?7~aL*&#}>}$_NF3)=TR!P6@)HW5G|I1nUyNt81>7f3YF6UU#O~<%zn6GDxMBQJ{TA zv?Fz{+|@AM(#gEf>!I#;rF(VT$K6V@*WPw_UB-1Q4ca{jV>>#Xt*fCu(=uKx(xm4} z0$9urKfW30qY+1hhb`;=9w4yqh}lyO67Cy5V75=@e}>Ew93creU|XWTZtCJp*Nz30 zjO*Wve%I&X^V;+g% zSx}1~3-tlah=e|MD7z6<%J4rx3!!4|fCi=Se`P^ye+QmJj<#_#DKjbk?c~Q?x7P_M z0H?t(3jNdKi6xIYJidMhkJj*ZP=foj)Y0$k!Snz^6fzaWHEh-t#on-< zAtpwE00LBJfr40bD&Y$_0v6Y4-YBQWTgjb+jta)#YWuX-Y65f-Y#@W8bN8>B0Gx>@ zGXGPv|JRdge25UbQM(gg@CaI3k~MSP;I{RtdAQIG$mrLVmU#qP-kA2og)sjGUrd>o zVfO+Pm!kXu7y&hx!8kD~m*o5bkAEWo*WyZHs6Dfr#kp_NICR$e zWO;*~1m@p482NGJ+^bc&LsZodd0y(uFpVg&v6Ms zalrJ#7DlQH)LU&SxZ;rdca$ot=MuB-91W zzm?(GKopj0sCT^oWqzYJkU-Uu&h9{OyEf1S_{`SP`^w@E>)>|<=n>qnJ6l7zjaq+{ zkO~Le%mG)tJ=#W)kAJmvyQ-S8Jr;PV6r=7cwdFBCJJ-_c!ITI>NQBs1Q*Xti*JGgH zfH8vqhcUhtWiWq)GEDT(vP@U}d70$6(il-@O22FPR=gUk|9_>syRniBH;_%b)x-14 zi}~9(`~U7l-9SwG3EoR*nhqi`Gh&BDzI3yGnK|(K@@mn~)xGqgm#(z1nZH?$0G(eG!noY{rmK^r+%qm^-#NSO*P`^=b9p=$S`}$WR#B4N#fM&Dgcet$TOZLZ&H% zoQBd@cBJA4PB(r0Byt~mfDetTCCLu<%CF<3pMUSJFE0!um37X?nP9;7GdGMv6~f^~ zBu#)t)AnJwPDV<+nL5mLD80|*gcm5q4( z3r_;%7rc;r?2A8tjDE_Y9=srV`gG5OTOMUJo}TY`r9Qm?8P@t+jE}&6`0IV6jMSfP zj=%g!bLI1|St#?)Y`uPvFQJV>%a0BE55fG20++!N0uz_a0sh2y#IgI}lVZ?M2Z5-7+;xd7D z7X5PduPYoB>smxWFN~;Uc9kU23MP&QCp%LRg0v9S+QM#6Fv3iEGcZIEb5j2CZKAue zz&O5r45nCBW$t^wHs!2rwmtsWH!RtIa}JKilmjQ><@wKuh`4MXVLelE11w?f^$m!< z=TC{0q_EPhaJxC89$yNYC8{M_2SKEF9>?)^1dqkq?~|pK?IiKTh>F-$W|cN35YE#o z^~0Vvt#VKMRGJaP@x~8N9LX-AfZ#)Js-|p8Q=`$CQ5`G<#LLy>!29gV$ny_!|plncv9;P^4EA5Hznzj&dcvotFg z=7m$=_ve1fRGEL@E_YnIg}E7+T@=3k4KqHoYFf#_qeLOiLZbazm(|077pX)T^K)Lr zNK?`hS!Jk#@USh3%i6cgMO~MF)0y#a&BC{7y`9fZ3AiP?9f%>o>UZQp-?g~$b!meyv+ zemhwFLrAG0vTv#`ec&bJ%GTQM?J;V~1WCdop|t~`=a5qYxGK@1XOBlhXe1JhgnpI> zP$x*SBMyQyM3UqZ7Of({L22<4MGo=VfqO5blvPe45up9gZ~}ofJsR8I_`$d6x-y&J z%huw9-UCe5I57IYte3NYw2kn6XSuh}J}h?ALrL?DPX$BCg+Aq=Hdo?#he(Makxu#a z0v16L%Rwss`ab0p&Rc!TspX(k{oJwzWH=2q@f4Z-ePg$X4GBpKd zGA%e$#K?m3B+K7z8rmb}l6t%sWeiI^=?oknhWmgdWX|QrXFey}j%SpT7yK`oH=c^C6B5>HI zCyh-GTl`fICvED;r6<2BX9LD}1IBY|4c?jVTWxLYpaaHeRhVb;JIM6G)~kfMdj)jp z{z(SIyUgCvuz}(Y7P{IKCaXo`JLwFHvOF;QTrF%hwy9iww}07yrM}d6+u4e($t?Fr zKU4;89%3zjbtgt;;93d`{IwJYrtOgnRBKmccXAKhnY2QQlx-Z0Cj-VJkk4JDWQ#f6 zeDd+EtW2m#ZBTI84~oU|`O}>N-n{ToJiJbv`zZB$FRgSHwBwAz~+=DCTA?4%0l8SlbL>h8SZ^qJG2TJy{;f|H`Ndf?sD{; z0Phe&LwEonYs#k+AWNq_(K(hTE#RowCOWL6T$1~Os@d2C zzZitY9|z%Y^u^0TxV=u8Zz!@_K!dJFKJS;OSL67Do?!Rd%3 zrx(G07J*U^PP0ocB^R&=idYU@zdB}7A`njG**ggY$HA2(KN&S0IGw+!P)bFS8z|cf zX=py>Ldc9|G*^;A1;LLJtS`wxqS&C^&nvfJU&2FFJ4&#l6)jTr(rn|tZN5Z_HqUze zhrieCXW3t7Gau`J3kUf}dyu=4e=hCkZHt|M^~nSvj3Kz3U6cUIeylljqZSB&x?bu#X}vUAQ{THVg{vDEvVQ{Kjek6#3=GV`M)|;4Juvp~fw9%V*k*rhAd&8W z#zjXv>3ZR;stp(~-wC|zdY^XoeKM;WV*390Z-YWwVdBbQ*phSM|2ecZ*Kb&0;19{a zHsR1{KXBV`lp_8b&Xr~O5daIwU{P@Rrw2ll%5VPBbNuJZ-iB>)NOwPLMq|l!{GrNb zYln{ezggVNr`6(N<$gZRK^aCkO=8*#|HT7h{tq!-l}wkx5dsvKvxe-RK@!-b?F zznNXlKTh7xCpQxUa$$=oIb7sp-&$@amsi+|Vf~|p5e)-tFHdbOmj&>jSzjlAOt8j; z``Bn;`6%=;5!hTBA{M|3!H7A&0{A!f@UqD3G;eqef_ZqFl*LAkwk4lA-gK81O{G_& zm002M8Ie-A>;}@a05L)>e~=!r(7hLd4z{o3rn;e)I3mGDCFWS6}ZF!*94>5UzT^3 zCVrreKA|`*`LZs`yHTF0I+SYl8I0*bpJpbtLp+4ReFlWq{5FdDGTlVks6=mg1&$JR zcd?Et4XP;ni2E>yf0vr18J7asLkXCM(o>v)vEn%|qnh_PoP;nu8>zpX?+;`dk)T!P z&hXvwa#4uLB`+`qJ5+&8?5e$<9S&&R7#cTC%0-X^znFq*A5B3^9#vbo_sWoq^1NvB zB_HgLXhW;>;JCJ>!&_W{Oxmp5rOpu^qq5(|h3%~4w4jbQzKk1@Kz(Nh-Q@`^`z3Cnnhm%h@$(T8|dBfP3~mG^y>Kn9$4i-zQ( zMgBQLYZql{{7BCno)rwEx+(7!zZ_u+9uyympXS@9uacoShe-A#WcOwI01U1FqN(rW zbplCZ7=eXKe}5DKp~tjOmhZT}j5N{zdZ&P(AwQ~2782gF>cpu=5nuGwchi7}>6m6_ zVzbGw^J1S5ye2iCCyOHGS-g#^^1e>aPB*-0@h>t5EAwjmb}GN78}N%7dM{^fuNfBf#GEfdcdnLIP$E3LL!bZ2BX z2gT%?-wp4l&3Yo$R5IeRK&Z_`!);lt%4j1#dhsrxy;6!mxfW0dNJ$but0RMI%R>$& z?SaZSKe;num1{|Ku$-1;Xu&DW3_Z6i;q$7fu_liVAUA6wpMk% zF!E!CQXgSrG+O4Z*B?-(6a_wQk2P8praB@Zem8TWZ)((J8Rcq_6~(n0ft|~Lc_m`7 zOZklA>Avkj>a}i15=p646UdwbLz_MIks|L9f62jxY+$;c4wi%`&!{Nku93pS`le?4 zaC-sVB7tTKOisf3R*67oGE_w-VC@8J-eJ@77Fr3T z;LeX*M%|}4j*lESd{)y%7yu4~`}-xvkaxP}dIkv%gfN0|_@stwvh!#m42AGXCUSG`D3DleM*@;r_By{u zm8&bjVyhRTeWZi;E{z7xt&|7hLJedvaze{RVF0=WjJ_IH+4I+5KIG5@Cee~#&Ouw? zh%e%1k)=6;#F;}~i^|qj(bMqSkRDv}eIrZye zvwT;zqP{redt+Sj2FF--q+fA=Pi z1MyG0cOzad&X0Zk(q3P+qrrp4>(8IweR$vP>2kp=)Mm8ld{bV$&*UT5Ci~jWMoyf_Rq_#PH4*eI}Y@b?}!4U!~moa+` zDVG!y0+)XT;(EAMG}M{RueWcmzP-Krctt@t;!!U{IpQ!QnYXL2-v3Ix64u{%BxFVI z-K$--7pFNqEAQs&hbv+fBXb2=?qy+-5sC`NtO(N_RwxP6DANl5LK3oTn^88CC_%7< z`1`)C^{DH`CQAG}*-nF5;Rjq1aEvOcExU#EX&!&3lzAY1m=^ITHON58EOA;aXyi2V z;)H}G{S*n}MM)Osj9rjOViroOhC=``y_W~Q z>)+qMefj#;CSWOO+c`6jt)W(pqDP(X4EcXE$IMBUvKPCt?H?ChtzH2*ZBT|ybJ%>+ zsO#H9&ucvN<;$GSf`VokcM*_MB)-sF7IBk=5u?MEt z;>66nH3GtLyKea2G41&%hD&9drk$FdA%c7KNH+U+HGWD*u`qu%vw_L8dq&t5mg9byP_s`_Mr?*h|Z7 z?0GYEZ9iJ84I@WuuCX>29|T;Raxj0E8)Yrp$sFH`ecK!B9ps&C%-x#0Rf5;^q?F~^ zr8p&IJWP;JBrkN^$>B!yg~w{%U~XCCF6UlW+%Fxz6kr;x*+9)p2{bQE(yM{@^K;Cn z)ow1CLge)FiCFpF#meu4N?phixOJ0rw|>64`Tng_tmfk?wL3=*Qq;B`!~%aMkdQ)7 z!&1tyd_rO9;l;(`^(zg|H021Bper;nKqzLc#lk2|mJB|dk@r4GK;he?L1;Z{iwS#c z7G~wwkyps-l%cUI$3W1Cg|sfyIE6$XF`LKxMzc`+7Q`3;pES1nCc{05qSUX%IOx&i zCPpXei4_)xTt6W9Y$yU(Tj+mraj(wwdII6qKqZ6t0ph6!tBm@CtYyW`9*l4GT-65= zQ(9O#JB-6iWdIh?%qfj!5YroE;5vkQjHt(gW8l-Mc-0&syiU65zzrD7LI|`)z}-vC zV^I=8+*b%qAN5Qb1fGy7?l%kod#~YbCZ-Y=1k&?%Ay1$zQKU<+nrP!EXK4^>VhE#!u}hWbo&mud>k`$z%4UCbZ%)1vt9~{c+p_~z zdoW>ScC1^NEG%roOV7=&sSCA`d6RT3AS9VG333ll^C!VrqM;gM9`Gs|ZlY6Z$!dtbS2A?`WeOVmUU2 z?;i$vnA&D0gTsGo;cPuB3Cp5n%c6|Md6APc$;duq1!o*a`J&y`8BwR#T#mlPy(_A3|H04qIQ1O0zVr=KN)r!NF83W&1jT?onm zxRGaFh-Z+niU5U}{QVb#=8$=c?0;|}G_E!w5GCo_2ciG3E0X2(Z@wb05gZZ=4hh3b zDRj-;<1OK;hN%q-p^^oj8_o{fqN;Xvm9!g%sdGhgaPRGk#v5?F=cR1*>y86t79fhF zu)~{s)H8oRtW;2z&@hM0Y*5Da&CTmIfF6fY;=V57*P}~H6z-7&`ZsT{SIAHpMvm8u zlO0z2An;oj|9Kx2#Egb4&z5m<>W3BxX9d>`w&c4{*9%zE?#}=X0Jo_cmuGbAP*vg6 z-?~A!1ZjIOJNHatdfx~m_iQnd-QMpRAS;TJ{q=Rpm!G8#uY zl^H((Eqc85e)-pr)QN8;{m)2XLa`58MZpzWAFfRLLEVT6cGL> zj)1L%KVVHT0^&KGg@|$gF%0Z*j(qF0herKU_>@Z-;y7gyV^|W38GHpI@_gbkLLPBwOid1bZ5xV~Ji-kGK= zsA;6H${RbndVguxc?kETH%hqk3rSwt_zG<=eOqb^@sNt@7@k$mME)tf4&*|D%1G&^24h)EA4bgKq>_~PH1`U zb`#1C>v!|rE|9SW_YWO+H**nnz1|kZrz$FCKQWbUmsgRZ&ZjJDGqcR?Wg3P2r5w%= zt--xLK6ZJLcl$}2u!}Zzn=9<7FjJ%Ee|B3!?r2}?*mpG9#PS z8y%C!m36ZhHc_~lEFgbyit(b&>RrEEpN7n^YL&88%(MorthVw?-pwVrIfjC^e_TMK zj=F4`r=y~ijne7P!XG$&43*}PiMzVl&)Ij({XxSv|3}_;FTr47^83!-!lOvrM9F8_ z_3eF8N9okx>eOjx1(*cdAcDqj$3w<7P+MRqLM9N^wv77}Kas7S8m*P!1H*thn1e_j zL{TSAy`2so7iKa$mg~B)Cc4eop-BLC8eF0A^`r?BbgLXwkTbEY&G9CT=&8>_Dcpg zn63FpqUsoo*~ALbWlg=kf6dIt;C@H{u0)^CGt3E;^Ug~R<26^)Z z7)*f7g-J>eO*~$#x7=D;8+{!!4uj9SS0S^|rs^FuZ})0(t2XOoX|2$1;OJWKhe^9E zPt**nVxGdm3#W0ff7Dp)s3>Y>rQMQ(Drs+V3#zi)*my6GR+t`Ke{6gboK#_Y6r(VB zqBsN^3VO7@JOQFtgc3j!-@Ll?D7c@KgBx11>GMpHw_Tr9-N;@NgvZl3N_`r@ez6~~ z!x&4r(L@Z3FbSr!6CWoDlWZ*l8pJ%IWX*9DhnRXvoN#ZRmE&8+A8?cKbu6h$M8}e9 zL`7ShmStJreLx-6e`$%PVm=IY38g5fM*e~mzgCwP^|4V=sTu{`V_<~X)pkcseY419 z2G#!e91W3jez5tcF~PNPl@&Qqv7%0eAYCWvfcf~!pM zRef$L>r@_(4H5!AWhcc35s+CiJta0yfanzg1pYX}SH%Vie*i>?r()wt{$A)G0y?e1 z1t9CaGn+6IxUo}pdW;XH$w5>R{%PL#gr0DU_rD&ZR|F73@$LUz5ekb~ zaK!uno~x_Mc~_sW^FpHT_8-$PXcDJX1aXYLfXkGSNMMlw7!z?!FX z&d^~!aBD<}f3oi6GuePK;^MSFF@cAGRHu;P>k+IP06>}l&8ZNCP}?3&18ZUVBy!p=!nkp94iDHTtMj@h!(dl1x4H87i|GwSrcvA$wRE;nzzwMPuvTB?DQl>Z! zV@$mS;Se$Qs$2<(oQfDlDLE^{;Bb=@9B@xLwae@|DM zakMww&^e>SGST2kbUnb!EtFL)+_(_EtQs!$wjvrh9S99Gj9o;I;izui0s%9+>v+?b zqBFBUWK~!4=!DZ041s;ySBeL(t%BXduoI2!-|NE=DJ;fwbxO@7V-O+b$WONByK!^N zzZ1ohNhaFCc>t8Z70a}Da=4TS8_?kOBk;LmW;!x(ReLIr>ya0 ziJ!T3N~ownd)ao!MV?QiR$!h@s}il|Sv7fQ@~+x7dlNut8nLDEIMR3=e<~rZf510i zq~N6ZI8x{VAdS>B1futRQ=b9Enx|_YIxw$w_#pSgvQg`ibY1mQG?w&a;+L%@3QD)T zs(Dyq*onshFxW3LxgDA4cn?Y;b8s1nT?Vjj8vv0Fc#2D5!I0OL3ZA)p?R7l_do1%T zN1pDuvijLoJL=k<(_+_3f4gc-AV%F`#*29X^`a@1J(l=Sa^Wb+pS-H4@WlvB4Q`TM zmCFm=Mw8Bz@AnYYW#tT{2`!;+o_B3L@}_BrCKpa82$Lu7Ab{qK+NM6b(45}lR?=Sb zP7eD;{5Q3m4`y?7?wJWtJ2t~O`c{@q-5Sn`g7lf#>=b^^lIJpvGe*8C6E(-?v;Sl>N^ zKsHrO#w4t>0^g}i>)Ui%#o;7@N`(L^P2!*C$gJ*&LPD`S(2r@WAN6mB-NuQ3VS!U& z6Jnr;LIPDJltS>1e{`^MvH0OfQ$ZWWh!P;c84V)>FE&GA?8+Y+!ZSYS@YS5W`yc}Q z-)(Ij-8Iip_gTbg;e^v-k2=@U7A%rCC|0K_*i7`l)dezg=& z>W@MbMcDtKZn`HN;+A5IFtw?~qKNoUl~6Jr%xWj((CKEX5rsK0+DGl1ClO4*(!{@A z5!Gs$W854le+Ot}fbG$&>RiclC@HjHj)_rE9q6I1sm*fV*4pG+Ql?8dePZauTx4GN z?NS6w{&}U_K;1TR^QqhRvt5wpD-G5F=maZFGny$(Lu6>6pD!AqtBck!{dNXyyE%u5 z#_6o#Q?de_sqJC^fD&#Z><`b7U%)+k`z~Kbi@r>3f4QwJJT$ARRL^GCHYX(mTap;; zG&=vOr8%VD*+u<89>+t6Ed&dk*qW)$=9TEJF*|4G5&sI=h2`Fw?=h)OB0zz?PT@_REMe+dF<|(I>tUZ4O46~W$Qa&; zA`*n8f51&dJVi-X<}}^lJTGZEKaDpC0*>Y+DsfJ7j^dQF5~XO9GR84lhA1TT4B3Q! ztBVN@kfsGNcCSFsAlTuV&rtFLs!a-c1woJ(D7?GZAP8q9Os~Pun+aGY)cq{WzBzhu zmcb>q44;HY5e6q0WlG{KN_iHMjMGgH?%!aRf0D!+;^`4!~W3q zn?-_9FT9j(hkiV~>!%av|ZYiSlvoq?MD7g1K*qpD(4Uy~r(&eR~V-Yivz ze=H7(s!9#Nzt&;rikCEc1VA@_HyVL?_Lip8T#_WBu=v zU9Zb_lKLb$7xVu~7;}>mAQP8ZDFRO!m7;y=Tar$i6t|OJkAvW1ItXZqwu#X;q?f=c z0u+C)wXEDY#)}MyJ+Z>d~n|_?P!5={`w^=~(~>zn5r z59D$!Ps$NGiJMq?b@TA(S_SO?X1Pv~rPhBzyj59YoWg%;-QWE0##K5E7;);vUSK6& zUfFmSvy{Voip3WrGj>|0dPW^u9Vx-?S_c6lG1`U^ z{vIlo?dYzrz)S3B@v`SSVeCz{9?1&dz}Z{u@evg`CzVFwmX}nsmH*vdNmdfp;TqF9 zdn%Qec9H_BS&8o(`M*&xSSX04akP|U<23Czs%7tglJDB~9+&OXjsw?Suzi1X&>9e? zv=fgxRbj@1NAb|I;v?zi)VmC%r5z@Q9IJ`S4pVO97p$E-@%0#}AQb0aLr=3jUyN38 zrV#*5L!y=&eoY=3BHTmh1i_t zh%=i`51o*I+x%O*mxjAMePVy*E=G&RwxJpq&K;?D+Row(vRPPiAu%`0r8B20>D`{; zS=6Hu7Honk%H<*OW3D0J(Q=L+inG`h^MD%zST{DR>!z=B4OoCV;hR_Q(qL2}yufu5 zC=aeg_>X*LQbZ!h5A^d+`$0pCmD+7-v!h5ITh<}kshABSCrh(4S!aJT_|gvIg!dUa zZWZR1Hr07h8Y9mgzj|Z>)GPnEQ%q7~b`Fo~Q&Z1d^=<@73Y9H>q&cNR+p|+MNuO7Y zSQya?+#%2l#0&jNG8-O-q)$f`NoVsp z;Aw4EwgkF{yml7j6hD9WkP4JhNQF)H-C&4e#cW4jObGYwEYHt#JS%j(v`En$>asz_ zz;pJDU9=1)xifu4>sAY^-6_ABtreG@g^C0tBqM)ejjU{N>hvKVe9-l@)}B_N*BG9) zD?f%I2c2c8dLXcU%HK4HQ>ToXNP>1b4g7zKBu~G-f;YL&FWt0)+<`o2y^tKqVFPxd6781y1BcuNK1a6C_fS!10}Q%Hf+iSr0akzV%+s zR5zYyS*3pmoH1-%r;7h}SKiFk7Y7iLZx8E^E8Kd&qz zgD-<LYvKI+ENAg|Vj zcSIdNQwGj6m;QywL&(*Eq7t}ZobS@Y3qmJOzEKN13=)69K5Kqu$rClP=8~P{g7t07 z6FtvZJfivD9??R06$#(K4y1h^WF*JTk*6dMriac;oGef`46n;le)d*Y1pB|FRzM$H ze!;+dJa&0QphjXp^qe5fzH7QqGAD@QuTSP)>^olOU!Cs12SZ;}WKIMQ_|e0??`KW| zTxW)RlP`bHM|?8O(1z*_^=X(}w+DNYP0bw3GkluD{1so!$nZ^1)gT7M09H5o9_LSH z0~_krP;akI-W${x_O>*WCSQLM>+uDGqSiAP4R2cM!0Qbj8RNAx7e!?d`{vJiyzj~QrZ?7;KR2Y1IZ>aZ@c=uuT`{&oI+b{25 z-@hMtfZ01}@Kj^2SJyNGeTqIGMi9Oju=uD{4pYsI2%Wj4_Z1!3aH9lGh$lnc=^d zokk~DE!y$ghT#KmwtG9j#EwGf+5Yt%_UwIp2t~tFg8C`Q5yoM*c)LffxIYJJsBHKG z@CASLiHTuH-o2k+-wVCq|NqN`H(%=0`x9@Zm_Vy0ih)9Z0~1<7EDB|AWOH#U}u(J*Pj>X>&5ql1$Jqo|0mO5n8rpA;)z5mmrYlBiHmC=Lo`c9n%7Ve+EN{LLof=MBQERJ98DMK$XVC>#;D8ty1e7`h& z2LUg;>cFSloutK==~qFT25$u`xJ>v5dCXcy20f#YDGFJcR)WHES7T74MPlH zU|@xTySB>8ro}nv%JyOI1I!c{Vp?LbMN9?fGxGld*WB^xpy~Eh%YP&pa?wl*&?(~G zKEW;L73p{VKu@!}IACe1wvx&d6Tl5=ghd}n-B8x1DBUSaX;sHW(X%ZyrY9*hdlF}9 zCZ!m&K^};ZJWI#4xfyW_zQaYfEYC!cd`9e4_1N30JR!A|43{HyOAdz88I!0W+rbzy zq6QYCYHg%vjYN#6B!3*iq>|r(m8cBI@(HgRy3#BBcD0C1`7z{E(8qa8>T<>(T#@fDLT8Y6EC-o^7r|k6=oh|al$ku!cI3KnJApe= z)R;xCHfifJ&q^ZH;&^5hM$dR9%2&x_TjLn$xB-mM<>;6KFMmXgo2o2HtfFs_+7xp% zW~4zIGO4cfJ}?_1*`Sz~7kIsaR2U9+OfV-258PsyMC$oK5e_%uLo$DzDo$f)9%>_| zXkr>SuB zw;{v!Dd_zK^d4um$i+8ZJ5{8mPM#5=2bD+6D*sHND1XXH*6edEoIVplp?q?@TDGKw zCQA%yuZy6K^{n;P{*-oG_J7kHp&40jFoVSmn@k>q$!TI_x z*{B|5l7E&I*rp#P(TD~mh4jph1=KunUBQX+D77|^t?Uwv%wpN|W{K-zj$^`|Rz1-^ z^}R;Y8<7m>DH5`WItZCMzVAS>j?b*c2lVLq`OWJ0t8w~jtY zDsNNe1Wf`b6L>raCdJ1Zf>d)!XXVx8NI%qFxIpkfyByrV%GRL`r^e6B@ zkJ}m-6K(427pAno1&BgUqv4`2>f>%e0d61_H_+kiXKf?BrdAYKN5+^xnLI7*-R>$` z3V+6hU$HVJ$h{)NRL{tKBqiyCO7!?8In0>+jVilUlqiC@WB%W+b}5qlr`6S;A6Dnz zE}i+iW%16IOshD$$3P6Zjx5o5T;`?+!J25SbrN@u+ny zNFM*m}|ovna)VgQ|h=DWq&)itAJDyy9q;F+tAh8{Rq?Ri$rKk1MK{{~Af=)8xF-Atukk1qsAkde(`ZjQd z?6r|fRx|OoS>Uq6xjFx=33q1CHEsXRZ?eaa%mCrqre%pIK*zM<66Cv){;7=fE@4lw zL8#xgS=fxQzK4fQV94hnTUdd}v*O;ijrmw~+k6PI^E0@Hs3b9!899(vE> z$pCH3LiiC`!o;+~-=y|6hpnQfM_cPLoPJ4=K zM?<+9RW*33G5ZEpsX@se-6V?Kek_pj)+pVh9d2&nB96z8!o+_M;uOrFkKZ-@AcoOU zP7LK)QZER=UNLPDO*z9gNT*D9V%W~G$fP#K;}9OK;WTRc$` zxcfx}izCgxsH&o;M#_?&2D7u5gP8yW&L|i$KaH(q*$BAeXS&8`eS-(TIa4_RX9ZPE%m2$JXluZjYhw1#DMJTSbG>3hS_N~L7cNVp`!3v9}n&MmME7B)(~s9|dr zHI#JjMwNeawxMjWU!*aFmR(Q%oSQnd)Ldd_jBisKDG$@y7%&PN1`;+la4i&V%$yqU zK-9a+u+x5six^c0ZigHR&lfoor8P#3)#}`TVCvGLV{6sFU)H-M^OJx>7;!&_Sou=T zOQ9_4bU<})!XpbpCk|sj{9@T;PMY~KOD;IX5%+(CEc+G~<{-!+O7j2ZAm_DJm*q)5 zf|ocCeHPAUq=QQ(CPn-HoTt5+KRwXd{egzP?C17gYO`k}^$slxOP| zU&~TNER|&@*I~iKFu$IZcrqNo271s?0sJIl^MK>cT{pL3?nHi`f%U;wp$|xAqWrZ< z+u?r+Rp7JaP#^v_EAhN0_-ST0gk_e6MI2{|jH9ef^HnU?VY(_r013Y=DE|ixJRz9s zV(w-J-hgh*fUaY{I|g>qV(i-3oDJ!-z`Lf|lVWUBA_lri6EnpxWZ=Q!3Dz)|?0NE4 zO9MG;wV5lsEb3El7Y6cV^YBP0%OJ9ZNC1>`ZBe z@HBNaIMzH{kj{Xusc(?TNd*I@k5bo!mTZliSK~6*E=muk=tW{&mI96oD37liC>}@Pu=n%656lK_g>9Lf9P?ar| zXp3)iT790-+&<@imK@#H55AAX-l|tToK_kgRdz~L^!x!AT5^Wr(6z#xs41_1$yXuZ z5>r8b!<*!}b_E#Di#$jCOx71ofu?`tne{VRgFC=b(*DzF)%2u+e|BO=2~O(?uPf-3 zOUrvO#B1G76{b}RTxEzUjo~h7$3~(f7KVLBt^z9*2Rt{B1s;&@75&=ED@k8f7=lJy zOF!B$oAFo8kF#)kGS2w%WT-X=D_LVnQ4$4$FWhQ)aJSrpP=M0lpIC%x6-$4tfpJ>S z*760vE_>Q|Y##NFx#kg&yC3pH8+B94&o{%ce|&hy=K7h?Pm}nh+l!#ldqi_JB_0%o z)-9cfg#IX3N;Do_pe}qoK5KeP8>?if)riTWzqXjNsK)XDK_6FRGnjIPeJjCC(eGB2 z1x)GM)4~Tu<8Kew2V`nni8&1N00{Qo zn+oXJA=+(M@$^9ypQPyr?)44n$Di*W9_Z-~GxHjp=_55Ba|n0X@8qN_!0m^PbN!04 zi_g@eOq+^jH_m=kXvcqH)9S2oLOi@d3@Lfq=Uhbc&DgKJ+vSgU&$r8vv)`ZJ0q!WC zAOCT`e2#dQ2k(FW#Zzm>JmkgZg!DUkrh5>?A)BQw#UNH{=qKdatm>lE-zHAz8vLL$ zW5|AJcNa{9S*8G#Kx@C96t(_fdP*73sye(PMw(L25&{hERX<1#2~Mbg-!MU6wSal+ zbK1F&n%2)wo1{nc`8A0SpM+w!mczC!+p68{X-Qz^(om#TWlwWEXl0v$kOJ(%%4<2* z>eU-}hS`h7#;|3JdVj4S~>-XsD*a3N&Ak!YT{QWzJi zfFIf|*;-)5W~V5Iv=55R#6o1rlc<+0O9CT*ViJcu^lt7w!6lI~_aa{sKNjB2!n+;L z)F>G0EN${;H5&6s4nM#^hnBn-kP{Npd^TFSL z1L_ppjf8<-l<-iPQz7#zSa?F5?M=ZLiGzR}TbSz^B~(Oc20?tnt&}gn#=9K{l=fIO zsom)8xE--zSZmm(+>W`btku6}sp?2Exfb%kh145!AMOiK>UTwLSZS3k;5he(UN#`DlA=lS+$?N=M}*w{ZupgFk0XD{xG3Xbh12U^q6L@+VdU zV3Y-NTPI*8yIvNDaREAbVUf2vYN zP|TuWSFJicB;#HXMMR2IEMT)Fk$A!&@F7;DynsWiMkER4@sFr+Tn%k0?YJ900_hV; zeTVC20gem6(0PI5xW@5+=g%~tCRUdCZIA&ZhF_DWt|m4(CwEyf>46L;!kD_u`VTZG z0cJpOAl4}Be&uFqr4kbZj_NSbttUYFOogBK<}sf^f3nMq6Q$FMi&{5Mj0yx;wmJMb zX@)V8A>W6x%`AuF+}o(j^U?(F1`D+jy)3jU^a(@;6dcVjLZpCydQGW34Ja-kQ2Fzq zyoiZY#_-_ZX#`({OUR@wa;f6>W0C1EHkZ(D68TNlX_c)_1(?qE71Mz7gVGz@|C*1v zPEt4hEm13|7d_10+-p@$S52<7v8g!(+K>mO+pQ+;G3F$iBXD|!`Ux*G)!vL-gL4}+ zjf<)yNlQomlwD_kI9n*gync0pyGfjO1Uy!qQ}}_Y)XWNH>Z+O5Ud1i}FN3B)%uyj{#l0xr}JrfXy3#49JDaulVJZ2IS;<>Zu6Rc)eVpn z5aQ5X`|>gfQRt1Cya+-sXVEGGPZY}j|2~E@IMgQIXECG-d=DOiXvg>XzqbG~W_b2r zZ%_YA1QtFgjPWr2-)Ez7=*Po%rn;Kx050qiiz7raj=gL>czDEK z4)l*6Ml?;lo!Pba!YF~U@MeQQ2iVDk5dl#!3L>g^N5re)#|z^0HR%aPn8sH6`$p6n zV6)+w6JVw(pnzuy6b-w*cYH&WBUacO1tHwTET_vtxq~HF@_9&^FMo<*!2CTBnI1w= z<<>4TUfRjlsEvi7p>|!{S+m!Nn;`)eigoUY$Jh)-mTn7oTdIW}x#insV}I>r?oL;w zK*JFY6Mw#vjXkl`2l$ZU7P(rv-Mdv)h!%+2Zcb!*a{qGRsuia-LeP@{2-dFmK!Cj zaxxW%+{eJH?u6Qze5V&P#WOekz{R>M`wh$%C-t_plM|GcBY%=N(#~?BnKu_5?oo$(Rl5-!wC7RvW#2S{KwZY#&$5^`CKOjRA)XUwozn%YGD@d|=sd_TLM-svKF`TO;6 z*VF5m(gMZ zl7B#)UiX%U{bu|7-H$h~?ruKagmACG3+Wz-p9i^DwKpGs54;-SKYD>5mxcFev05+5 z3V1f&hns)j1aqG9;DVm4lfEn3S&pl-|k|-e~0K2zfDo6hA2L&0gf8zm@PB4e zvUalwxI7BH7VKA|qnAT3WwV97Ct9m+ki9<1UJUj+z@;!oR|?jILAQaITA7P2{T#+m;{}5JXX8%-TgInh~uZReiQNfP+)+8-GcAWEcRH zzXfqtDX;?CuDt+P)48c#@7zJd|z)Cf~l}+(T8~9*?zklMz)NA{fzo1l!MTBK# zIDUpz+1Tngi(So8e%o@`!iD8lC>Z~|r`T)_8 z6yqHTdS^g22Y&)qx;^O*qNP{$u?I=0u)C&$XF7!Fy)OretMt?=6dYmeNTj&g|Q-2j9=>uS{{BczVRFz{_4N7-} z*ULr$j?-8XT!tQifFIkE6kfRqhYtl)Mdxi5*cMTQK0=&CMR}!gg;}`aB54AyG*v|e zN=TeUQ$pRVfhj=SK<$Y`T$necG~LxJ6>*gNS(dL?#Fyrf7JiV%e}g%^>Z<(QC&fmw zZO0CM7pOdwf4%LXAQFSS%gatvh-lH!zEXm3vC;&0J&O%j=rH&^$4OkF)8O;WGjA-t z1R;VR7y3caEcp^(YT|JLDI#*DL}NpjCC4$)E`K2DcY``SO}nZ)>jn(Ks$wnbi#-hs zQX5GP1omfA*ng0z4kA31sj@y>=C@gUSQIW1hTQ!j$b~e~(E3t2#Y$C#(1j%v$rdv5 z*c#fW@&MmzP0vmbgtr(j;Lc26#b%)#zSDs|WRZNXvXA2ev6eRAx{qQqw+0u_-2PX{b z84!d?&+tJuwHE%Jpraotb|D>9r4S>|z`#d|I{<&^*7IV)P>6HE&V zso4^y%x1a)T!|YoC0(`Hqty(FZN+PLGk9?`l_G*%8u)8$K7^K zilS!Rb==%_q9S{KyJ%)CI@<+L4~U*?=U={m`@#{k^*;9cZfrxXEPX(adKQoyoPSm> zFGNFO`rt%0ELs;qxIO~($#r*Y=79ce$MJM(j%6YQCVUN{mKg|UdpdY7!oUtNt>^*s zB7h+-&bJNi&gud@KoWF00m)tKpW9kcI@*};$?agna`pd4PdHA^AevB;*~PGRca*jm ziSns^0TkCKPGd2E?KKT|Gb$J(5`RlHj|Dv5b#jXGEAEl@sCcv-xc$6;m&jNN9aPPp-wQ3W9%53XJ3!X9M3};Mwsj-xU!q6u1+s>{Y=UFm2fqqoAvyK zRDE~k*+ma!q|F$TqI8m+ZO*9*M;r&*yBfHp{F638g=zs2Y_kxBS z^m7gLn&M#_(O4+q7B#UQDUWCz9#zPRYeo^$)u=p5Ew%jtCfdCGOtQMSgUVjCts6I; zwKpFFo^2J2Z)B#dILC@Bgx$5KltFJ z2W%;5KA59@+292`E&d3`0K= zlLPz|FFRd-!8M@BF>V~~es23m7zEDCCh_r}I$U(GC!X&O#(9aX%|)bkzp_D;w_-+p zFJ0yGxA8RbqwG)rG`{*Xe-im&$X*sFcIE+P#1BVMF^ZSRF?q2`Km}P+LW{M77OO^Z zYJWbl0gdEnf99Y4rwPEt-Tw!#T3UdYfxQ9~muzhU)_(^!=kAI2pf!hI9)7ra`*8Ey zjR(lNJ8u^w9?FT`c$Z&pe)`4T6#)NX=Q=@}?4D@WWv8M9+G_XV=ASpNT+FtP2lsLv zKMHVFfycYCqg2dffDyv+j~}x8=@`21{=Oc%rWo^~Y-%RM zU$}Xl^?zl~-FF8++>bTQ$*OXAo*n(_U64$;=I)Nbw>0#HU$|CVh6S;|FMDn?ZfXsD z3qs^uS$||$fAD}6z&haUCjpJ+pbPFl9u)GKKhEXH^RdbXUFXk-h`6lWU|%)3=@TA* zJY{w9R2IXTS5#&Fsdo;?C{4eJ-yq+E0P?2O27h&+z^iw$JGrP5P&Xz(S4tN zJSf;5C-07C{OEZ=o{(oXU8(BZvkva&A>;gM>8LOeR6X1wk*I7quS2l9&(^(^N zuz#CXvU%MUT81+-_*4$(vSy0N?Pdh&GLQje2~79Bee=kz23de>p9MO=X?Qo(Xdia( z84hv;mVl0jF9Thni!%jX=(a}8(25K)UTdNu^oEAplT04~!@eaNAsb{C3EOA<(H%pW zXqBuwH?8bk)`A^2C{q`l1PgyRCtGzODu20i`VcyyyYD6xflnZaf#+q5GUeFSv6BYD zrZ)Ofx-S~y5%e;o9w`jXYdq-y1q6C?YZ`^BSYy|HOO7>uF#)lwC20ioM5^`Z)z>q?^r)QFyx-= z&B=BlBZ#6#BnT%YU`ezBn(LA^LVu=bLw3tu<|}lJJS>EGd*^cC7H_dQtk-5oJjIM{ zs=yr+!{0gtproFux2C@@HVitycDe;|2PMz>It$9;l_Rx(IG~L23{cLl2Vn@@@Wo|}&(yNO z93#t$?J=$@`?eB;Wyz(4AqqLML4dPZT+raG0E>Ace+WWj7}krVRwerdBcP=S-ef)6 z?{CCj_!IT_LeGhOYcT%m1%Jv9b?${HfaYG2`bn6iv6r~6TO^+P+lC48;@C+*a;*_z zzv-ur3e{Y$ABc>Jnu`aK5rBR9ZyFAmTZv*3h1TAfKYw;Z7xbRzp1{-qz~iAwG@-V% zCn0%~m0HWmEI&ilxcq)> ztwZFYOIA-7pFp^UmhfdlpT5LUBCB;X_7$r1^VZctJ+Ic*MgHK_&t*=G1prBcYqS(P zeRiQyUa}d!ZX8d7Gp09;jkQs4p({jVm+1c$JqAx(|9PeKxT7zaOlgcL*;<`5*yI<~ae_G;pn;_#WPU;W;{7| zmgyC&dk#;r zi>h3 z+%wLYm?i-6oM<*${3zLLu!jvsgPbPdB$Op=-(EEqzP?>*tWx_oCE6p+6TSxxznHaC z;U<7Y+3>UUjX2uWyy5&1KMcK;Cy@5i1!k(mK%;wD43yK_v%csKqL7VvVu~9U;V6#_ z_kVZ&^W~x?TxlQQOq2Ew1cXxXVKF^lKb$ zxh*+j51iJv3W}JB$-xcBp}Azl8T_2l8UbB4Fp)JTmA6~A%?7$IdJc%$B_<4~i+hG} z4978#8(C_vb3B-H%KDO=vX@8<4nV4(9e>YF%b)YIn}n%(({#ne0s&|m=Aoded~y&Q z0>oEWWd`9kYAfM4%^6&FT5%#22nuQy17UIFsW?_2QjIHh*E%!INYma!>^@d zBiPH1jST-+RQFPMB1U3Nq=qyXTZSz}!kzgAE1_5EgBgNZGqy(&$dalerlvNL?|)t- z(p0e~wc1H-Yphq!C|#FmH`sGU3$u19a3k%zCMzJMC#hplD{)l|NooHzgbV^v%pkym zE%?NIU?^FbfQq@;e70c}5&~rlS70KCqYzh#V6r`>)C>nq04RI)ybL1!QnrV5Q(uUH z5cNu+WM;@79OvL>M);8eIO|%2m46NF)T>C~ycf!(K&8I4sxqm$LE>Ic6=4`9SXhVs zG~8trhl(D|{WU=D-;g`doR&PRFejnbo>Vi*6!t|9j3W z<$scwPMf-N$r3LK63qk?2^UVipH zcT+ZAy#xMFUK|`1*%e4<=b|z=dB}IEqsZrnY~1=7z05 zD$vt7ozn?lQ3IbV0Rd!GSv4(%(5owtQ+XWY3VMr%nKn|!cSr_-&wu+3GlNkxxE&!> z%DKNz;KC@Hq@*xK3_NTki73Fx(fFnig<~sT;4qiR2`xE$=}rkh;`oNrTqEFP5PPeb zm*2kEi7C$I=LzhQqlEVDw{N~*??YR>6xY+!y>U>nZ>|%gg|MZdnBQWt1rt(m=@@5Q zPQ<376^ohSzY6ecGJnooy1HmnyhZPy&f@USO;s#?P~Mmt4Fsok!2$7$4rs;vFBlF8 zmYg7o#B%t5!g9Q?GJbLYUku0HhS^9RNVm+ct=V8Lm>rKjH&_Bsx%TN#(G~8y~)PLjUq-AF+zawh=RK*W3 zKp<;5BJ&Rph=F5ePsBc+i0xHA2`ci5eN*>W6b7ly3-{~4ngXkfpm>WoiA&}jl)*3jlb@YkwFo>uKVAvC)Z7fq7k+eK2JF3&Hm9 z8uHSG>q`jBh6OoSStonIt$(S6_MJ-09FELAp*nT9U(zL)#klNR3hrc+_5WKo;`Q18 zTSEK)7OEc0K4*J|jkbx1;Wac3y%%cMb0oyXyNl{NL4U4j;1{ETJwyZBWmpk->9!C$jVY&VH)n(*=e7qpez7^+_AhR-Q0X+-Wx!ypHK^(Q3S8-AUNC})ET&Tf|h2wB5 zYo8=-v+~HJWNwhZ4_D8X4d-Pk!@L1n=XWtB4haJ0o^1KY$xuOJ^VR>GT>lXiwoty~ zdHyxGIu#rvmHxp$F9I04^O-$adA~=C|L2T@CPGcY&{peD_4FPP;hG;o0s! z-~9U~kUItL-7@!XIUKF>T^0s@pfbMSmnaPA^!4_)A5!J+_2aaF3EK4WyblwvpN7TG zw;urcWk(eHMVRewLwF_$Z@fEyYTN>J1(I$7Oxyl&`?(Z=8n@bba(+WkGOietDoZi~05isHmOOe3!=4KI@$%FYeF z3SvKuGOH>>TQ=R6LPel|sCLmc!x25J5E3zfeFJe!;V6WV+=z_ELSP5O?BzBP1JBN~ zs_W%$)x;`w21S|G4-uZr&fK!N<;T8Xoqy;Ox$2E!$aFBkvOusV^RX5c)nI7hcPAPMZ^G2O zC(q0iPspM2ESQY0fNkPx*~27}A0^>ZH=C{*n{p%+aR`L;?D0=Xn|0Gl2*d@3pJrm6 zz_-Y&NOb^A5e1%;(f3o!V~un%>t)r`(T+-fbUk?>z|;u-mCymQ@G2-OAoG_|&@iK6 z}}8!@UX2MK#g;JyFXFFfG8;$U`kK!sr#Bz?_H6}(raxOSEe>N>%Difb@9 z>pw7|oJ6(F>Ws5C19&fuUK`)rlG3mTa=;9#InjU-#fy7Z;x!_?qxe9GkKkMU2)r1$ zy)@jN!Bt%WKYd)M$+vOJepZ1|Nho!xSYJ{APF;k621&7OcPv9MI5LH zRU(K?vH_S2qnrnaW;E*~#(v<{tz+k{97Y`EX#>efTMN8pU&YCw7#FNL=mn8e*NE+G z8E;bqj~mSR$)hKQ;|$@2xt&0W8ip3~evv(Y%uGhCbY4_!r1&Z+NjuK0Mo=xCS$<>dq8IQ4j??e4H1sb$#Hxp1QS1SAyVs1&z56b3Yx5K8y6bu znOEimu%(Rw0Iks;#meXi$#DuV2np9E>;V%D4ycjH-|__fr|^*yTVe8=djNFIBe4rL zX&#ikeRqOuQ9=peQx9o@q!nT;$=Z;A5=g_?qG8Ai4jZ|YfYCJYleICi7u`UE0wD4; zPAAs_s@dF{N;oBD*dq8rJebb<#MzRpu@SYA%2W8=n0MEmnKbv)Q0?MKL9(B|+MUUu z#?O+uL$kn5= z2?~i&1IRdu60yrL0RFyXi0)>cYWs%=z3HfCUl}G@Vt0ZR`w?x-^yfDW8ue+==W?7b zSsciz2t!5#ey4Tmz|l)&_%3>X1F=gVM^6=At`*;x_u(PZK^bJ3PRpV$qWdyP!h0~j zK;;{`0w+R6AW{7T>LOWI6ui)X;ZT?igx^*^C4Lfzuar+wdR5C~rNG`3vzBS>Pq5nU zi$xSMG}%^UJru4rriYr7{ToaS)1jr7)7(0-fhrQmh@1HJ+9A;zNe@Ddkm8 zXHckL$pg57!8uY8oEtfVo_GkisF3EKXF$x;SzvuP-!Qa+6u?z)dox6T$|kMO8FLlF zAq_)A(^Z1&s#}KmOTo}Kj8=C`8ZW{BB|f2A`wImXWqMCyVh5dD7n{cy$>hmOGI=aK zF?I`e1^`?#;GwxxYKUpk8RI3y3Yc>k3Q#WCNH-AMxFYHLV$SKn&c3Y$t!zmg$zX|8 zOTn==(m|pW=fI=5`Q@#D6X7-%Q~FXyuOjgBu0EUFq7$?V$#**%XGbv&3rE3&nI}Zg<3T$MF<%NxvcqY*&49s&sDvDLZSr+5x zF)43wXiIPva7BtRZZvgZHLZM36W?=^O~4`Xn9YIcMBo#D={DkdY`U6ZnCQ!fB})<5 z7+&raP!PtGAmbVvmt3<5H@GZ%F@;3!3dNDW&|OF(PfWIPs`r|ATq^8PQXeULY|{n? z7d?-*K4m4?)of;`kc3j84Pc07foiL^Md~~D;@tg!$A-$_vbpPFJC_kU-Oco1o%x{! z_O<|(?hyumo~s-XvhigN8Z8|?NG9EqbLR1r>QH>FC_s?g{Gu%sDR72WGPRya*Ye5= zmbs}H&lm`d7hOqbG2-IKj$5~)vS7cXd6Dkaruwk)3sf<9t4YbKmV z9|$x1^)q$$W~<~R7T*$EXM)&;ddlHpf}BvC**<%JDt-2B-CZVyhUxore_9c+;5*K^ z+#{4TK`ZXzq@c;JxVNEp$V=d2NIm*cnrN{S&jRl zugnf*yTJ3uS|#CBnlaVL$v?o?rU0Pf+}qEer}g2R=kXWshRx176OFMQw@X}hl$6AE z?zftMlau<)soJH{&$-lOL##uo?L$>s5_PlLExOwmfM8x+4sX^;n1tfFW3ri*VH-uf z#N5A40_pZpZdcpet?=_@?FW?kvxsRRq|+CGS98)Zr9ZX&_~+WsaB{1^_k-H zeyh5u`qK&AbLDXAK;{K1V|R+R$ycMU9(wR&@Mf9vcUspJ2$fRa=V_SJe3^GXQDP=f zpD5j{tp8k;#D0{1^M8vr|0fkkei#bJ74|LjFv+~X9m|3K*CeG$mU}UfoyLXtAN;Qq z)*bjX{Ld#FKk0u`&R?xowErK=XVn~+fxQ9~mw<=@7XdMop&%%iz=#5ve_U9cUyrl~ z&DsC@^y9<#PY=I6_#m9O^LHuA5xJ?C?#j!<$6vi&1@s?xo*U-b?uEE6yC})vS?@kP z{QJR^gL(41tD08RR#-TSd)hU;SH z>o>6YG$Zic+)sB0AD(f{e-nQ`s|MAVI@gxes6X!mIDfC0TAIscXmnZh+6d!Ofto{5 zxSfeVVRQarT7F(kOG{*qYO1TzP2cf=mS>|>r7CxS2z{{BaJ|w@(7%jdhPv0+S{6B* ztDWCex>aRgqnuy%30f5n4F_Hv>^SteoCoW4s2ln9ix)=ioN!e9e^g8?jQB$s6`mv9kx8BG$_bt z{G)i;FLKy{J@RTg)scaAhbVEgAX*yYX`g%K{y{cV1|BHLofqAod1%Xj^4Rrq|6AQB z39>uxgDMC^5FC#_@P2r~ScYDN`_#};Uzn=trUCgnfYX=4f3l}g8ln=?*um==B+g^Q z6q8xAh~om^wS((GOor<0{l zX?+Up^nmz4D@h&%U$bcdY;q!plb}LIrvM>GjF(3QR*4thGE)46Oa?=PpNDYtqQ|#r zTnMQPUE$zX$Ya*BXqe?FD|Tma%!=QOrsBbQWYd`cEQgla;_OIb=blb63M#Avg(x8^ z*?iD-e}CYtvhvR`jodg*zQI8w&rK#zeg-Z)CS3h~mf{bQAG-m}TbdCM8f1~^Gst2H zZA@X$#u3#j(V}8fV}#dwwI};y;A6{jqa6Ty#-(c>j`)ZWciaZvQ7&!S_HEI5tR}A;jw2?umIUY*srlbl(OXlj=4S<#&ZKeV^Aa{{;q|A ze;eZaAGqvc6$WTYh#Fz&W{E_N$PL|K6X|{X7Q2s!*z=rs*4$9-{F6c&TI3589>s$% z3c>nUFe1nofKZ7T{IS_iO%vGt`o+&D1oWaQ)&u0|6uCr@lIf6eRi!2@2ec~`b%71K zJu|Fe9L}6zO9Iw~d=|ulDVm;Hpe_-2e_*$TBtlpUVQn*mGl^uOz!ok{+>Xt(_t6X@ zm;j-4f&~XjN-+cwUv5vr8ffeqVE|C2JM$ag4|o~xE4*)Dh%la5DLc?)&g)3xGx3kk zT$C_WmF8Gs*SKEm3D6@iDj#p7Y+V(7LD(>Lp3brgc#Ur5{l-2(qdSRf=~J@}e{zl^ zLWnIch{zGhL`am#teS3UB{&xYu)@|Q#yN(pQ`Cyb6!5$8KDO~bvU|sq-x7HvD?tDZ z1(o7n4L>iFu@T(1*p%*POG_j!c#Cj%Biagdykh~7+ zOhvmQ=eW@feW3#3R8MvYlmLm|e-T9>Wrd`PiF^!~T%xaTDdeKcW_~d5p*IKBRNKW_ z(?GGWKXVqtC~sDo#TseM8aI^@GIe)m36g8gwK$=wpm6IpivMxmLQxwK@6m!vNhGT( z_tkQADTQtp$!aWKu#2HOxCjdry1b;wqfci^Pt!%8%g(W?t{JCKIc+0|fBpSy{Rlsy zw$<0W5vw@A=t4ZLtfhk886oVlV0lV(+V^ z!}MnhcuMYT&aDn%&7P;x9G08nqE^6*LJH?bT^C1Pj~7m^Y(I2=?YRYnfkadrBGjwR zQBFD*=L97={MZZ0Rr$t!e;OJsp!u(rT&(bY6~wWh+sk_bA08|qHP7q#bDZIo`}gqG zEpFA%Uq)3dk{=`T$j!ZUc^Q62bx|M6&wX(ge7m=y7fjFz`BvQ)Bw<$s-@LJk^&zvC zN{?ZmHjoj{cgW3^s_zukT_ADHINLPy3VbMKHW#1RUg=Lp>QDbRe^ksD>r@G<7i2z9 zD~U}j^EMqMCTY`owkpTBn-=XM^i$_Q;88I**)SDK%=Hkh@f8w-Vp27rGs;Vgb#0vj zuiOmBe5`npt5&6qk;@>@HpYaJT2L0dgQQt}Zt8L)yFL$$L-MIL7{*h(1BWt}16TwV z<%@(1;~NP#&umqme~(ppE>J9+Erg*>1~DXcn;T{uhKQmZqjqN(YUKste8U)R!}xOQ zT0Kka+Src-3@X5HmNo>+5f1XAtDY-WAA7XrEW!%Ri>;5-6nmd97|C^SJJWi7z z!G)bhI1!x;HkXWK@6_1-zRCI1u0;*?YbC;r=G+FC7gS;De>-nc(|JOG10J{87l@K8 z;En8u6oS(5!iaw?&hvCU@+-u4={?tlsa%}E%h4{*?c2)!;wQTRO1L<9o-DH>?2K8F zN3Ml!5N;m6=$D!!3ygj7d5E6Hvv!F+@mzEA2o8%2m}(OjQayqpk(3yqrfegVi&2SQ z0R>kIOTZ=Ke^L02nv?oP|fq#2r(ZOYQL75f`9ibMrJ zN@Yc617V@p+X9;IWsC)(^z>J%pA>E{NTpz*dTisY;cBO-+~Pd!2405kj5LD3QI>G0 zV@;%H%CZmP=WZMYH&E|!ffW7)6;0?(-v(yl{6jJRf6?{V0D&Kcu0M6(V;<@0!w^(cy(vvCi*$qFwGF4Rb=li@`1in6+-}<0 zq3ii$%MK6{7N4{?{86eWnYw%1CgbxQ!uq4bu_*dkMcA z(G5fmI$Mrc+Lw;%^kowBC6nmZ8u~|#Pu+&*{4{kTDO-{Gqst-*GD^hsC<%D-KuoES zfB*X_fl>;#Zh;At<>It~r!0+4?5(Y+(53T>x}X8kW+apVTcH~&Z1?Z2qO5)ni^KbSt%><?pYplyBrYMS~l?ew%uW^-1Q&84%~?nrVLF zi7P^3;D&K#CSF=#izqeih@I4FRH=rc=;_*Zg$#V*DZT1?HNeh1vEb28^+Vef6%Sg< z3F`y!d!9y~sRvmohbzv*(Fc|2i*nR$!!O5cA=Ar3e}-0cGh7~e4xR0A@;%SZ;Vw^n znS82Gy15)rp%*&sy1|p=Y91Rs3`oS_L;ONDx}+rz|ua)zU6DE~=qj?=W7KnbnMa zEBotae-!eMc#B1;m`4!Gl5Pzq4A*egjUwU++vnkwM{XSEYteRn`*Z}UJAFa==*3Ro zp4(CSWm|U>QiXe`p~QiU8(Lt)&w;YELtCp62tM(nst_)y2V(D)C|w$(d_zAXbHLY6 zWDbq$i%JL@WuVu5??mX4+d@{1{K(3MJyI^3e^HLYsA2A`>>DN9O&wkg3dIv~BrFbG z6U1;JQslN*{Ah;jqS4({6{C#n<`E^+@~zYbsy$MVW6}1Q$zyA^9+|*_VvtrQT2m7_ zMUnGn4;q3T820m*VM82P5V_%K8VoCA%Wc^!dp3I$_|Q!CS&0zX1`nqY04|DxwLV=G ze~V5xB#gneQcm4G40zI;ol|Adv#buNN>S{9_1>N;hyk4=i+F2-aKGT1o;BJe_KND6 zY=zGPy#dEl=Sm}N(lT>T)_wr+n!HHXzMIYm0QYd=ZBew?YE(-fu!WESM-ZWRfFDkm zSv>EmLN|Ld2wYGyGYj-@hjnm&Gv{D8e_-P%fOhKss!#`1M=y8&u^BdkyRc04$}@t3 z01Fv#xw5d~T98#G9yy#icj~DcHQH29P6u89>Veln5=c1lou464LC)nhD{?-o>%7^9 zb2P>zU>0$fe&lJ0!t^}wGkeRKw37L37&+T0&Nm7`qX;9i3^|$U>2U=vVjHZLe?gKv z|DBjie99Kb$mtl+Gz!)8ncugKyd-X5U>eNqX>1X7%A#6hY?g};!&!A3&G#SIPT zbWwK|`P#sQ1U%FF_?&r~S7(cJ;p?&G(M4#4j}iya@}7s-+2`!+GpEMb?uk4v?cQ}2 zXoHwe3?sKTi>ph+21^Zx&T8+bSY&tzz>l-&Q5g8JkV2$jc|$bb@+fFze~SWo=3xjV z>ZuXdcm~h(;mi`S$S|E5#_7y(Ie3tWV+Pq+wuGd_urTtFVTptU&l1|GVw8Dx$FAHN zi>6d-ju$?k54bCkP6FxAq{3{r5UCs~r&>4}94&^SEp;Ji5`lq?S9xQdD?F@{0v@`b zwH}@ZRZcdFf|1w&Z$Oa009tgzj(<#&Xgx{Mm6pO}dmh1?kggC9B_;;kXJm=Q>|nKC zF>rId9l@wy(G(^KX4$5e)X+{1wWZBUcw5<7Ru_u1uB_D?`(1=2w>G;paoPU7m@aw9 z5>W^%S2^K}bHQ!C39<-7H%r1f-Hg6Xy7?nz*&|B`yzN`OfQfG%Zma(bNq^`ASyl?} z@Jyy@q8}W3oSM?$Ln;mvJ4U~nQUk`x+f~rZL!;TdWCMa886mXWoHz0o`#&QO0+aAK zOn~r7DLas5;+}%rOGYtPz~@GdyhqPUdfC&>1uT-DgzWDin6289q7E1;EAh&Zx4q)G z^FiVL2Ja;po&_n>`r@Kn?tg+_e-e7na8)L-t}4R=kPfxhTmCB+Ln&3#3rx zXw4r+uBtFhS?nZkNFx|C!zgsVo5sB?$Jo=^I9tBTX(mBV^l_@h$r`7|j?n-y%>NX_FQP^9WP*R~UT*3Bj!Slst+ZgIa|z;|f8k$**+fdkAzPAHpN zSSnRF4qN0{_%wc@Wr{>T3z2FBw#!ti%d6cKE~Hf4MEO7f)ap9y1?G|Kg*MVhyV(i# z6E{n34TH7rb|*h`vpH@2|Bw$*B}xeSPGKCnK?*HoaDjopZv=j~)ys!tig^qc9h;#O z3>yCo1c9|USb!jgvwv8zXfFdlAXZ`9x08X|(B#Ldparxe8n;0o99U?h?8Mm(bO5L> zMGdaT#^$mW)>HtBIP``Qi3(CF`(UTL&c0TchFGmGn&kjfzjSKX4YO~>(vj}Vsb+M+ zUR&Rv{L#26Vj|P2hNl=*q#R@s@nI#wc&Ibw0_O~>v7grF;6+k

C*a0xg>RQ0DG4H0?*?70N7u(c)#zB_JWt*%m z^M0NhL30=JFwObYh>%S2Q?fklpew4>C{J){SKef7S7dfh?`6&p+lzsj z4@W{1k`+IXH9uZxf!qBYdH&<=8Ak z+V^lJzOcOf#)-616%>Q4?kYS^Y}naQI`Te ze}7{pG=Pbh%cY+;q)BEFyNT1v#sxP!tleyhq2Mi0Mq%#8f%O6J@i>alR8A*`2s3A8 z6HExiat}!?`WKGsZUHuq+$6KLe3E4-}pg%wSNgq@l=galol+ICSX zLmoFEV#BPxBr{3eB>U6*i#>aP`=7`CFkK~$_~j2%$#hd5x5c?Ay^U#8)>>P5#eWeA zoKrXjhiTX^xY=_gIQ|ah&WM8x7bH~!f@fucCoS`}kYJ%l6v?2rJWajzWuf`tkMC!I zF@Iu+Mvt z-N+8loYp1YTtUhm^L5Iyv~imACx098RjTTEY#A`IFYL|NFe&0}Sta5-qOh!BVRz}1 zTXFAPuaX?Y&Rta9(5+jgH=hMRFN?R^uQUWLMvpQHJV+A;9@+iQ8-qd{C11kp-iO}A z^%q~?MbCyS7cr5-Stve9ekMxvH5D{^*VEZ-Mh6@QtLlyCM)SX1NZZwaMt?C8{2LaC z_wU@iYxl}OUhZaLwsZ@?)bmx_oNgf`%j-q)e@&)J@`Nyp-N4)Yi)2t#q5k@X>_Xhm zKY2pfJN~ASwE;vFg$ZGjs1pc}>u1g0fU1GWT`p6KT zHJg88)aEeW{)*Zx3xmxlozOZY!CX9Tn7}BS6S)eGMsrj(OwKLIyt{-;5NfaL@tXd-? z31@eUV#jM+-PT2+YD;rbt8Y zFt2Wrn)@&ex8HEr0Tc#T3>C=e5_S;?&j;&nU|)ee_tGpg6O3wihJnCUZQH^;_p*O5 zo(f0+zW<9d_vNuy*HP)B9JT7u)@5htbx@TgiX{|E+z^E*cQ|4gu_D#lHNj+Q=7QQQ zVigg-FzVEwWlwX@8UoT%J^-M6Di8hHz^=!IcF&{LFmkY>ikE8(2X)p>7)-j4iNh56 zTK-zr+EuOqR8?(Z9PvaVp>gwUCdhwWWF)`EpYGRCv7WDx&6NB_+n}F24c7_vM+WzO~O)i6cGD#{ykze7wIv^nCrt$Jy~Jj zQfnm0#;LIe%Lt7|xMZ_d_RrSoSOl+~su22TD`(a}f+Wgn>Q~CXGv4iDOwaB`9t9&dvlj zHh3Znc)H0h&Ptll{*#QRq3ozeEBu4S0q!R)c2nzRx!0J)!8#2dtJHt7pC?`jzOmU0 zK$kk`hBqYAt8uQ#GcOc@;mCC*R!qDsjV4j<=Y^wb=;cB9$tSEuqxv0uTsXr#@}fBS zf4F(0CulRD@G zR~qe+mrGT;(W_H2S@R~cmp9$jRb49UFfEvEb(q5CQBou#FZa`_nEi{u&LU!!ep_U+ zn9Ue;TUVl^4V*`>h6)1kRq_S|2ds6>Gh&bnC+vh_W8hXdIh21_atrXS2}+IFSTKE# z?B~mwH1PFk(4q(dR&s9W&nsTi);|1{f%lUYsdq;mB0;xty(gTk=>wgn(gB-vWqq-s zBdoT88cgd{!{sz+NE*KYNU+}rd}v#OLx;l^LK@mVA(hY&X(B8EMi~zB?aRhF%hvuD zL9(W48f-r_?6`m7QK(D$c2nDB zcUkbs9PVi4OGIX@w1z3|6A~I2l493bS_L_a{6MQP4vc@C!4`1_*J8?oDFY_GJlLPF zn6R~&<%WhzIN&HRb(9Uwkkq_D)>{@_MAXMRGJj&Bp#M0KiPMh8$xd+!=_~7GZbwi|o@ou` zkR_=c+axYMW$84&*IRIehw!xazM{9r40Z&=#JZMS2dM|t7s+(5A=>zPv{LqM!oD!po_h%K5bq3X;jNJ%x4}U z&@Po>1lI4@DCd%1gcOg7$VxhNQSdHM!H!*LnB_JxW`FYz zjXvgSqAoU$zPVzVc{ool1S)?H1gveMTiXRNx(p>I)-i>Xl~%1(dDsV--T60fH2 z38@)pW0&z*)}q;802g~H<`|FZ=HLD8+kbFnkC2;C!`;^X|7l^<=Av+`Qh|W{8R^SB zx(wi|izN=a-32l?)J zzWVvsU{}HT_q)K4%VPJSR-JcAR=~C1y}$bBRiMul%+Hq3&sM;z<6@VGfgi*WOpL_HYZwV$DEfLrZIans(rNdj1qvU$a#Vj=_mR^7`(RCTEua9*bMb zv*MFvJ`=;E&%p3kOSAZt<}Va}lt#rS&MAh#@kuuN#B0ZNwR}=Tv%LxiT6go*`?8Ao z4|L~GiHXKeOd5B|o0ZV9tpjHAFk`HJ6|1VAOUquz1g_10YBoG!B39K~t8nc~C`+Qi zPs7-pyX%qbEuQfsQnnV;Zp58~)UoMe8QIO+f_yi|iI_0!C* zJQx-lRkPG7@%qOO;6UIRQUxTx#-jXbfQ&^$0Z%l)8?mnQt` z_4}JJDmJ1Xld?Su_S9RPvl-|1r^_Rb62B-zYqT|}_nIIgzs!@D2!c#E$^9ZrUDI_z zB_@u41S%n)(}Kd>u+{14LFa%=iRldS2PB09M@@F9oVH40xipzAxi|f)3+iz(P_MH` zatOE)7=KK=CJTf|@S?9wW_kqIIQig^#0E1{YEWOVp>W~I4Yp~|XH0Zm$<=d26IrJ+ z&edYg*fKwiZLTTN$pBe3|HGy>HZ>^N5+Iv@Q_z#ze;$W|;aIJyH&d zJ|_pK5ZbNk_4kD!$s}>AAJ+SkHP$u7R2w|IzCBKQsjvLp!Ma4b9K>yZeKMqmapvbi z{2B9sH^>yx6K~vuAS`n+`2%pzg4w5kSgS6Ie@=+Qii@_PaagRD9fwF%KY?8*I)+|3 z9F2$MMY?UkGvb6^hseF0LsdLH%Cf83f<*f49OK#J=3dzTwojW7ait$ z84gv^E6kI1@Ner6ay&?5vCRTD8@->ZrgLue{LX5B>S-IWakE``w5in8b4YBlhH96J zFI=#HB^_tG*?zecN|yLxT7I5*dF|Be>l&P^sm**d5<|(s(Ac+F70UR{cf798=CKzR z_YG<=4ytBHegVLwt!#~doh6cD2bw(`W}#7BHBQA`d6MF?%#q~YFg6XZY5t&G4UnSj z4kxMQTFkTNob1QM1W99Zq@!Ky^}1_ZcxOVju!NHF;v%DgDYLk96qu45qF^p<+X)Axth2>jYql{~AV-tb=+TCG_>M8|XD(KV>8=NqIwWR^thMN&x&sI%# z;Eh~O=(MTi>_!~P1N&?Jl&!Be4B?dCkP*}}7W8Gu!02Uz5Fcf0!uuN+dK5z@z z?7W)Br}%kH_MG~E`%My*eyZd!Q>`;MdMf1E*oi!jQzdcg>}vw0izFAl{wcYgI!cFu z8C~Bjv_nFHKXp5GUV)l&(+RpRdasK{FQfDDYOU)s+hLfM+(0g(Lm&EOEAPvu;finz zKoI$J6ghv9BD*PoaUfP;0FkV~SkuzCJKzRgk3+0(Tj?NwbDIdUVVW`D>i}+(PK__k z6pCIb=4ui-N~>qu|1OJRYUr&=r)CW@n-$DRv%8wP6YDxYb!9{&Ma7@-#RN*mO*G7& zZKHj}iZ=E$Z_R4A{yRhB8z!^f=d~m>?WF74Qg|hFAy)9!@@X{ngu)_6CWi%v_A~Gd z_Yc&^Fskx@f%Al_afx;sG^|KhqZ};1)}t7AaPXvcK`#|jzl0B`wrcD4{*k84ggAFK zM*;{W00{@oop4DFx*bnFH(afph-ud08Zd6w(cFV_B{vQiFh(ku z8y|qn527Nb#~FoRd~VR&sT0Ec6boTMXN++7@6l=r{F-7W{9tsEr&-Aqes957{caMt zIxW{^_t**3ZB8J9tpeDF^Gf$G5T^>-9Ge?|k;%;AP8WUPu5Skl9oW5@2}*ZHBKPw; zWrQ$7-}u42&JMAy!Wwt?1D|iA@R*txHsOQkCT`(&i>6LwKLHc1ie;ge7FspZd;ncs- zXd(=Nte{xJiCe-05i;o@8dM1UWj&jjoWgdj(L#i-mN<$(#*VIxE<_;S5mt!2m@XGz z3gO@YPNlB(Ua=RIxh6v~Z{T_v*54^3RvYV7!~D`)kquo1egtO?{20HJ@Dt#FMh~$6 zV(^{HC6>@W^y8`Z{xv{2kQ50KU+^P>S2zTWeBhQVk@sd_knV;UWCtf*S>}7@9Scp7 z)O5zafbyAz_95upO`!wsDfr}b5#g{2EwG@WE3ue&q+u~30Jvz~!Id4?Ybh{h;H&@; zEYfEfZ1{aG?ge;16>GqUX;Oe;g(=+~=mR<2L1{MzL)C~$xrfxLniu;coX`Vr#47qP6N7=& z|D??Rt;M1JzkGZbvX_Cq0x1D9moarRDVNl}0-1jU;&gd0X~<`ezu*0M^ZM@QkDCCP z^AEuxLq1}b`PrdtZ+`vVKU6UOCle&#PkmC^u zy+?Kh4HfC%cKfMaIB-}`&oupTj8Ro6Xpquv5U?UiNF2PJX5Jt>zE|;yGpP-q1t2}2 zg=vIBPlLk%^4$%-{lPXPpdJM*SF-*Yjzxd!em#<|@0)r&wes9JTzB;U-D(YWLmViD zTG|BqVGMgK?q5AjsGTs3KqMfS|DBtDkS1Dpk2oHB(@D^Rdp_3XNQ3g=ryAV>Z^-QM z>anN)x|`G$92s1F5S)aKmLeupl)UEm{XA*ff-c@3!Mw$a95B?7Ex^?^M#qsYXUkX- zg><$%APmDVzt4Qb7=oPn8CWE((Q<#WEC$JBdX2QVq}cJ70`E%tZQb=v|M*M`$5THy z6yM?bP4mKP}QSDZE}WMiPXn^9iXwBcEWl z00a-5l%mLX zb9+x}TFIXJ^Qg!^ox~t$wC)V%yp~HtcR0;z_V>zk2}kfpOXX1-OQn}hq;H`dNBKA1 zplU~E0a#`NWRS8L>~mw?zlDE+zj?;~w@ey>Xj*8K{?AEs!dD)&2U~7wppo)=JR0vN zg$UZ=Hy%nuCP3AQg<2pG&pHH>_cGcLluYU&4lZ-jn1OsL45}v^bOtbqzHN}5XP2Hx z2b~xIgSMw#uo7aa$WKuIBY{gnxgA(K_KQLRGQLsSO0aJ0o!XVL4Z_|qxTAJDhhn`m@Cnaf# zva1$kSfk1GZR95`izA4t8Kiwm*!uJ<_^s~I^|WiSy2ONMBD`4cghL@x{x8piR*9sc z^dX+52ILKf1aMbP9^!usis8K!qdM)l`kt?SZxm-hi%XO-pZbQB`>Jy#LZT}%&jX7% zQ{JT`BTz7GBqPj)+j-O+K1eQ>(6}6MAtAA9wb}8+hc#xv{d3z2g5HKY;MZykmBUumi!}GGTh0x3&k8$E@C(wu6C|sMpb|ilY+=@GOWUZ^tG?$(T zE6YM^idjSRgJZX+nuywBqOf9EEr8TmgJw*rbDaj^8DaZIn0C6#)?q7_K-)7su)trv zk&8A}0jVkPq)l9n54QyF6d$555Fak2PCz~n{}fm)iI+Yiuc`s8WPyd=iawPJD|KhM z#)<0)qn*TD57~cH<$QRj@xFtMv>PoFlms-Y##@XT3!QH$K}s_g6!})YcWb;<`5jmX zg(j5`ufeFg1a$jAj*0l%-!XyI4fAi8=bzb``vh z>Du`y2VTr#sgtf|CcG^Bp;|PyGbW1ebEDCy;kDXesYZX!kPL#N-q-y+D)nWF_jSGR zRc9m_Yi2er;nGY~DH|Iq+lmeMG+c9u{j0=jv>(KkG{sL@WED*WTb0B1!kmR+v8|qD z`UZ#kJA&ru+9GCIknMNCd{Q_zi3*k{fnKjYyXm#=*L-r3$WmVzxPgVhx=cEESQISC zqOEuDj5dD`0fo?bVyNOdjdwKuMEM^~fC-D5lHiI_ONV>|R;eSxDrti-A|WxRE`cO3 zK`vH}hgdfrU;uL+sjoO%=!6bE$DzfzWFqk1JkX>f_yHo3eR@am*Km&Iu2Z>DvShhu znQ$3Vx^o#(!Qw@|_l7^L>fA;SXP`hU&;~g6?UH{;OqVS}SDfZnAn&!Lbi>uqpU+F` zH1zGpsO$tfiCC6e@#7;+UW)|MD&PmA*L7%$a~1_(5}Ve_@p_0hO#c&+$yvtii@!>g zwMItpxV2=|s1LzFob4WywWF@w9=5yGqr2;g1V#1YW6r-a%A2v@rvQIhJsSJF0^qDl z@J)YrW5d9ASe>WBb?SeJrfGF*{FA-qYe&=L#ZuEK=GV%>aa>u)DEGQ}vNiPWU2onc zk5rYRyYBRFHLYYtQi`qi0eD@4xL>+An@$HJ`&Ug49Dq`=G>xs;8Rr^k0ofeccnFv7 z&H+74(J05-onCd|4VFYyb5bUyGPGECsNsKN8hAIh^|Z`bt!i9CVW%(?IO&NYgh##a zHJ(i9M|%BAcQ2eMO<3edd+|7vm)jUM6=qxAn`MTpi^Jk1{!mL9GV<8S$TuycRo zsr+@Sj<5(IE6UfZV{o0ZV1BY#>e_%%e)GDbt*ObJ_$-Z*t(>vXz^7T~qSwWq#*Ba7 z=6!lns#+Mk%8KP#_7O*1Hf^~ML3WC=rw-@M^M!+cs2?F^jZ0=>G@v#zpLyLg9s z* zUYFM6g|{oE>T)@Rv+%n$>V>@F87&{)T~68csW`s9kqoecbAUMw zcxB(Vb61x}#=Q9)LFZxm^?|fUN8NcbDcdy!xNQm7UUaE5{Y%zZrZbLKuXuHpPk0-+ zk%y`LwQv;mTF?k>*o1!uUUqZ+*alc3~%oA?u3st6|4ZZk^7umc{cZEG2wQifZP~np=OpLjm5O@P=Tz^b@YLPD(#D1$a1{R8g4-(h*TP$U^|dwdPph|eu=(67dkK1u zPb=Kk;VivB3Rnlx)L_1bYb-JuMF~}f)zFupg%!S)_`caFFeoAq&{PLZj_tyrh@}*8 zuIsUvBS)mnaEwAUj)8xqPkp664ZNPp} zsS&R01WH6c+UqCfOAToc7=m$b`Su1E)D`xWDMNQCpuVU+<3p}`8b$NKtisfY3SB+b zD&3UYYS)q%@_}WKvf?wi)A}qsW;g$X@AG3d-6WLAW*!hPoF~l=~JlD z6Uh@R>Y+iX{tJY7?A6fgN{GC&32bWg!6B`jC2NWQR(=qH28kzxRMmx!5%Y@$QTbrB zx~b5c{`u^P;TNS zyS%>n=@)NT0R5Yt=Z0Cjd!}CXPQ@uatKI$0e{Ve5)AV$G*plZ4afqX0f7e071@Uf{ zg73Ro6a`iczUO*j!eUS^{1KObewp1*w~^<8IQn+%a?`J-`|M4aF4W-dZUcV>10!Dk z%|1XugWRG7L?1@Zd;FL0{m41#=CN!Zxvj5tRq?B?+p!sX{%DWNEya4Lw2Cub48N472YBUW!{x6#Q3d%)8$b?{I^Ox7c8i#BS)kQ$jX0on!7ucw`_-j3fu^S%E}I52DX1%G#1b2cb;oMg`d0U@5(@Puf z5nU*Yo#&FmAP{E_#Ll5J`iWyDe2G5dNj3?Fl9mPSr-7?fv=)DK*%alwvKV#slKb}J z^QOrqF8`iKLhz9>7{j0|3}a1}gShytC?G;SP&pV`+t@%cg&E!VrUu1bEI0=#J8Eib z;Z4GVX*d@Ad3|q!$kvly%yy9Iy(qKF*BC29l{n|F6`AOI<6GFg6pfJjvOZTdI;P-= zw6QlJ%<7~L~P^Mlod@%EVsAYR)A{R*FXTUZh=7+d#Vtgv=*T0yb^ z*_v#BWonZTqn?hlD#0_tFoYOw7I`4I8RHg{|5NHQO$FbI@m*Gexm;mY*x8cTgNhGKu52FOUofmmG#U?8w4E&8i4 z+Hud4g8xk}*!7+O>v;Yn;06pu!7`WniGrw*v*Y@JbT~XAxiEt+E2e5Df$-Y829M+r zyp5}oa??0oXRIR{1F(z#iCvuMo`DqeyI~W8bEKwlJHa3vwo2e=ZeHqqJuruMGvH=y z29PZpX+wWY!?va!j8F&_UCg!m@>wJ;v{|+23dPo<`^XWOmUE)c~*H6DId26GGmI8_nA#XfG$-< za}tb`VIN5HqjZ&h_QvwS%%m9Lb5k-i6J*;UQE`9I=Tph>RlF49W{*y11o-uBZ7x%b z_^D-(-M?(gdaN&iESTod{|<5_Pl-^-_1KhCu1ewwQ#YpKKJ~~Egq!>EJed@?YkA_~ zanbRltK)4kVwfkmPJP<5>1eH2jG#C%LIb1_Qe#;d9!`-m5g08J(2r!E+%TNFc6>aY zM_GT5f-le`{ydaJe@z2t5@d|oKW}OESVAQ{C5st7%t=2Eg-aZ>*nH0<&5!em%?d3B z^B+?yIRse!0qO0-53=TwpOFWPIv#%lWEC=_DtbSW*l! z$N)B$6cbzIGNOQEA06|GnAnAuX@p%!jy->KN;~PU;PXyrwr8v<-L177vDD!4{fFUX z(N5^T7Yi2lhSEBKp#|TSUE82d|Bgx1sw#qwGq{4+UY7;x5K#snmVnq9Nw1PHu%E%` zORp#0^MHdf4U^h&KtNIO7fDfVEml!hzz4ciDzgx-K9P-$lx z%ne{g4S-5J?I2vpu!%2!S(Bm;RWA((Bb2qb$1l~@yai-(UouRX*$v^S$d(y=ie>V; zU$y=4r%h4zbkFDqMZQ2Ap45M_;JJW@fw$Z%H%k)2j+BJpWs&wgTjYTkPz~7hqA){i z9tWG$(CU0|VWTpR^}m|xsv>~C#I9E?bE*zpq)9j)c5~gK({wWVdzE=Vivqn&7{e_^|EF>vY+++5XW1uuu>|l<738AcO#&O*ysb7>7JWWf5>0w5$Z3DRQt-pAirq9& zYe_L@>M}tnZw3h#*eC&6N)kn3_++ja-_R@o0OU9@9kbO0-58m{_f?!AfK7SZEY3v6 z(8^+HT$JL)a^N~$3d>_z6@rkw<&8lv^LE!_%toX^>YyRshA=N=4cZ6L_>gQnpr;0j zC=dvfZf%?gT3tS#2JU}174t2~hh}o(Af4yPrLf&`u^ooV9+#td<@6f*L79aR?`2-X zgi9VDTS{C3<+p3NSc4~OD!jz;7W@JQ!xC)oP*z+SjFHgPc(&gE6eBLM-Tf78cQ0~T+_mU_ z$?B>K@b^HwzaG+I6bJsL=7+LkxPh+=bj$GoXzV(Cn1RQ)?H#?TAZ z+G+$+=M>y?^Se@W%MbUTFN$Z_#6=43m{O4ypQhw0JoX^FM4}2ktXT(vlg6ox?zk!Q zJbrom)ox4b)$YXZEy1^djf zc$%hiO|T(yMU_10JG#QQKK?y^+2FdgJ-Yn)Xdv<_PVq@_GMdgzQuWJ1fsW*8nOY%7 zY_%}4;nE}Y__l1u_uME)VRfUMb_1G+8@@D$5*3Q1 zgYJz?{-1w)CQ<1%TNRrBCYk@Gs4rSzt1yI|KYM1FP{p*FE+MeJQ%8v>*{s79IhY5{~n;m@o-;C9OmIrtC{i+j2hM`OAL_Sk9N3q?B!c5P+>4V1RAcPAk}T z;Sh8mv9glT&)g*Pt(_FD>Fx4pD8sdjc*u_NbP+%HX2Tlhm>>5(i1YP#+$4~$DF9x* zlHYYiF$As6H81}>Bvd#l#>bGe5D;>yS<`J6e7}9Yk0R#_?z64RLOX@c5C@!vaO5W= zkdS{$d4&3aCP@+AC=-$`{sXf>>bw><`jOErWw2zvJ-|V7rF1{;mW*AGD6>}lrBe!BW` zb@l2#RI{bKjQx1F+RXw#bbyhaIfnc^ zSDsVVpPBKYoiBePC)?2f&-Cw_=?}WlS)+O2xe(6XwUJ@InSWaSa&@=5`uCNBa^6hM z64E1d6EB%<4p)z#yxA7?UuK>gEYsPunRS?jaf-H>eYpDDl{eg2kPbFRvXtj~LBf05 zRAs3*t=>Y9c8yW-rO${DKV8ipVHD4Kct`qGTau39dm3fD6&5Hbg*DuM;ly1Ag1L{hAvSto zjUFV759k*;JboN=jwfJL7R@6G%zRY9xNun6V&?&W+p0NSiV^=ex*k>be zs4_$RrQPS{)5Wt}?G4tV^TUY}J{;!qtVFa=;Za@9>!r@Wx`q^Jc}8Z%ZK}gTm)oq( z&B=d&buu?5$l0~fOja{OG6oHMJEkEN&F4PZ>-p- zr$qR)NfIh_gE00fm|{yo>iYJ)LpL4^{;z)*K+uRhM2WhhAlaIfvZ)HmDZRy-(K3?= z52cX2mXSXMVGst6Ao#F5NgV3jAnlF^o9~IH^@&q=-cmW}O z+v32uXBX8?gAkQxBAj5t6Sd4c919I7w*x8U2h@(D44@Ijv9s=Ef%0;o-mp?RzM_9M z{bdYwsf`>2AYF?ilv{QUuusVb0}dSMoUA~f+Q`;0)A$_PjJfP>rLOIY#Q?Mg(q5Q& z&fAuGy(6J-0x$%$2ubXeARek(bjTWJXFQw+5uysl;x*e}=y? zb`Sr+RY}~#-&KE*1I$mwlZqTEj>vxr-q(3m<8&Lw%YX0#xN0oGcr(bDqnH=?XZb8p zJ_8snA}tWwYWW5<1#mFPDhsw3VlJ|$vSNJY8~(V~PbT5l3^yW;z(Rz!&fr_|1~`dS z>f96)`cNGO|1Gx#L4}CMVbEsWn7WG>LLUA$0UQcB9uCIw|^Mh`f&p8ORGfU@o%2qel z*VkC~LMYHkcVHK}O&lf=nCgHtt~Uya82*Wl;r}s?@fPJ?UwZ7ajoc(|Xdjym+?~g7 zP;fTi;~b*l1pg`p?kTdEjtPHG+%K~O9WiykyHG+t8{7vATRgSJ7Knas_x)(y%7tK%_in&ZAk@#bCv$jZPmOz4(F zZt>LBwx9}AuExL=w4leWy|Wms6IJF9t_WUA2bY9&CrD$tB20(|Hi>^XI1?2wT@`{a z0ShG_>ynsbSGEE|sYj)ZIeV=8>yblFRetlt6>fcTsI2q`w>5l1jvn~VYQ981m;Fda zrnKK$6X-@v#VIIjyINoK`eW>nnE}D2o21d$+FhfYNp%jpZ}hPp*Y4P>#rJ8Spx@B> zTa06DFn(<%W+J7p36X#Pp^>E3VU<5D-c40wXB=&uI3o3c$v4aPVrhS44kpCmUr-iw zxhJESaU-5X-LdBw=IHJOf(dtMPXHES9c))pZDVm7B9~A}yS3{UB6DlE*a!EMGS)|^ zf4B9cNG*ktKb7QD7n~*E(!y<@H9cQUy7UKk4-C$4NKCJ~b}fIGz@@+g3b@%d(=h>JJy zOo4{>GOXhXtRn+!=B%~YRmQ`NNq!5Y-s+>arEDa1DP;7Dp?YU@#}@xc6WK9a=Y>tB z^w~}pu%Dfy`uczC`;V3L_I{p_gOd78+3*9B;u1IZPIGti^rM_e`HQu=KVoo#AqM>+ znJZ}^uRV%ibP$zTH&iC#-k=HKtZia4qz&qJ&bgX}E}!^8>r7=uNI3G-*o87`aNVXi zg~C=CQ^QHHl6WA&5AqQE{b6+WeAh?4Akn!l8(fIGhG&0{;&_O(9rQ_}Yw09k=1C;a zM++6kE=mTDR&odYJ7Mg|0fUOKjn0p)3EvhZ-BWO7UAG3{*tTuk=-9Sxcg!8zNyoOG zj&0lS*tSm2_g_4Jn4KlRhr7#Ul!5RlMWm7?9Z8;T<7SHIMd29iIM8H|VE z0ZjDT)8a3Zi4ro>B;oo6!M?93k^XA9KX65(GX-(ee5mi!z&lS((p1>T;VvwurJbo3 zBb%krP|u>tlqawkgL$r)p9lM`0)^NxovZl*0g&B$Pe`OVW?pQ04lb*J_$xjyQG4VB zBM4>Qo!btLx2MB+EOkO5wb+vrXIeC#3&_t>@_V31PQzo^T8x?z6(xpCEcs4_TZ0h= z+rePo8e6uSEGs^DT|$bq5={@+-WOP0Qv>2neKk)zH{SSQ(pF0G`FOoLdb$cY2E1-o z8E9|${QKIUy1X3N3PW@!^r-r$(N}Ec;OE=Y{yrj2kxilbbvY&Nc1+*Tg(JnqIcSjH z)9{W>W5vPxcUY153geHjuJ|WGMy~*r0m;`$s`^1Cq3Bf0GpEBrZ{)@4LUTM|Ih`6V zMwwA!i#48J=TpafFX=hFYb^;a3lMZISvu+t%7}IT;8B^$!B|S@xFYI;!3zYcz;t?^ zIM}H7)-d6|Wn|}WlHXVx8)GWWyoy9lGLB~A<6E*9vuLn*{AS}NprV^I*%4IT`kWOJ zF3teIUx{_+^zY~N+!*Hj{(uV9Y{!fw&d+XQVj2Tp%_?_TuEh58GWguoht2V|+3sz_ z2#ut`?^z-2xFw(00}x6eEq-j(R%Nv zftJ}Djykns4}=9;a(VR3uhyVtGxcx}gb4s)yf{%xO&&VAy(G9i^svUPsruQiA*f*O zJESuGyM_QNbYRIvC0D73^%KP}jc#CU2NlK-S@aJf$5VJ%!N9>iW}va=w?EWh5W?&; zJxJ3IfoN)N1;1RRmD6X=Q`!hmdUkG_xOWcb z<(9NE@ox_+quR@|irq$Fx zfztnw)RG-)BfX}yQ-A{sYSQITyDJc@arOe$3Dw!Bb@Hp_yi%Nzb?)`zDS3glLo;8? zsp;b(Q!}+AGs(@POBK?CYdd0%dlc+4;aCKXxv~CEWz;e2naUnWq_bz9q?y3x3>=># zI@3W0y7UKW6xv_1Xc1)M<(u%vQdg?9q}-igBc-}mqpyb*f1Uu;%N#_DILiYoO}Wot zQHA@(#xoTk1h*jV0uKi$Y0GPXQP)EfID}e2)YvMJt%DA+{@h)2Ko!P-_z?1PaBPKj zWX;7+aWIFd=esS|wA1GD8z3xk9U{$LKy9@;IrEEL*)n z$W8Zrw#MtgnAxWWcYz?K5*~qI0COZEqf)0D9D$?)fHbC_*~R%w0hm3fAx_sOae+=? zN(a)sco!&`c8G1O?$Cb_c>cz< zL83@Awdfx1^7cz6GLGBUV^CuahcnaPwOP}{&b6f7QctYV(x>~xqW~{h+C7@Lr95s9 zWUWI1szx^5W4(3*lNdI<=K61_MEgb-jYo)}{?$1%1Q)>e;o+*B-mo%p)V!<;Aj~}$ z#R}T)GxX&R_{LJ(O5?w2{f+ko*`pgAY1R~C&`d@OLc!JoVxb-q*q8R!I(S7}G=dMB zj|rWs8%dw%Vntuykh&w1UP2I5IGRXT#J{fsjYyyW#?nW8 z5DsFB3@aoe*p9{tQrbD-!18ipjT{i%UuJ`OHH8c0vXnloU<$;S-;m#`R6fNiVby%> zR;j4TE7Wi;TI$kHFq&9CKSfgWU3mOes=O>Drnh1J#5?d9s#^Uys~Fsm7^(b+eodnb zuu)0WUQnwV_FJvzTRCz`Po$5hB+sNnY0b(O%{2P@30wWL%0VN{ms{X)axud74`TpC zE9BJ9pTV`%^7lEQVg?0gwy%a@ux4tq*wE_x<99cEBF;QeE|E23W%Jj9h)K66@qxMX z^Bb%O1ZNxT1kiJQk(iM_Dlc!=*k=$<0CGzLT9PVS*rrD5?Y1)i*zBQ6_XN<=TV?3% zYdwB$krlBz?NYkAaY_w`>K1#IT`C8iWa8yR6d!ux)9ds?9}~=U?SN2}-;2zwf^YKk zLL7k)#Csj;YU$gnu5)L{vB%jcie84Q$Z5Ii{f;5ZvrCgfn$+|NXWuSK_`j}Bz}tYV zu!2RR4>1DsSQP4*bGHcst5ny;4NI$~jG4e!(1-G;T$W01y@g^tM9B!1B!ouk%t>#{ zbdP`j%QxJkG2D9=hk(^G!e@PtyImR+3DGF32Kb}h`&OF?YE0eA98uLa(<_>T@(ZgaWE@(Y-|HH*RkcmyL z=LSVfRX+n61EBSO-b@>{vfj2Vl@4A1l>6Y2`q7RvBJ+40>Sq;%UV=^EX;W^A&r3ZVqZ`fNg6Y$qFdrazDOn4AYWj1_XmM^(yYJPP<%EP# zM4L*)fKZTFIn3aJrdrE{ek60dM!vZeFT0KkZ(yA!QgJP&*+o~T&IVWPi?WOYf{B3~ zNE_*mN6cfk&6oifN=gga#@srHjcPgMrp$smUqNQ-xi9|=s0?Ss_J{M_sheGim8W-0 z@7ZL>;eyFjaMkR>u-_U+!OjgNj%n|}3&A%O;}eojy3a$&QMiiQG5R#qO$6W*ETF;!TWF`E*| zf}+!WUq9^L;~&ObecwPSC{waUBV?MqA{gmA=!Xuq_zg1{mpFtG5JdNwLloE##b!AV z0Q~S<`cH-;p$u4P5!j}SI&mUj+;V;J2tK35s^u4?}Fj7jqZ|&YPyFi*$Z}}}Aw>C^D z<2~p?w|?sY!!Xt9lGg;XLH|nZD`e|;K=+;b036M{-cl>=a0NwhI77mn%jA|nF9#1a z0V0Ylc$m*aruU<{ddt)hG6a^ekOiv)G(`9K@7>E6{bA~Dr%U&omp=pEC5KIOY=_uv zHD%L_O~>PKCvqLmsjY%R)VscikdyGs&4!AYw&l_rTrKnEBWbQ!x)f|d7$7-KfNR(j zPnPPff3_znzsEL34yV^l)8YnZOm42yT&gB><$A7LO9VbY2#R3d0Ns zBW?vPvtc^$#Z~ro#Tj^WZ%|ow0p$(#hI7*nBmS8eu0aiNX6{g4K5v1xf$gfFg7y-2E~@AZx3zFlUZD@7=g>xj>p7Ty+)0$~CZD{A28mO18g zwTR~DUR#c|iV)YTJN9H?*O5V{X`hE)JASllosl1 zkZ*1P@C8FRKd{x7&ivcc#o^T2Yw@vWeK;t(CZ~H!B?XrKfRdy;-3xpuiIF(d3b0D1+acjZNGM`^R4yN`Ryi0 zpB+Ez$y4Os_htWC+xz7BHtTzq>-&*E`y1_<;ru-=g`}BMHr0GcyN%82y;|2(hlAsQ zZ3=t@U4I=7(Q%j59St5*F9TH9U#P^y`QLi_9NrZxiTdbKhg@4SlPnWsm#8|06y{+GHR-`))8~4vbDX@VsfenE>I(JZl^m0x?;@*N+ ziJ(k*K!~;4=KTgw$ruxL_<}0=HtCBs(N> z!&X91!h*a|@;E?VixuZjmxpT?z)e+@q-}gGDBXA^m2e;t?XhR_el`5=zyQH(AO{)wUq>&lqw>;%{Y+!hgPrb}#5x@87RH`oI1pKl-uAQ6249Opv39VH3}W25MN-!#V$gn-|8fYJ91i1cpO zd`>ie>5y|g(Y%Q%In$+?74Ksbc2x_!V!dAqZDRyIX~N9kio zzuJoNEMzIs&LEm8ht;Vf0cC<2sO5sfWdM5@SKrV5!K>ZSade>r1)DwZ(}@wjzWFxJ z;SuP;7!3tnmw>4Iq3(kdvO<=o>Hv96bwNiGAU(XQqkOzd&*-idjuAho?@f3$>Fkkm z*W_zx!RT0BPq@^!audtBYyguh70C|&(Gc-1Uv0{t9Bou;+bRBWfO)TyvYAw-QfFH? zNb**BL`}}yWg4>JI8%%)x_8Q!Vf+0E(LAGG@4IZ=Fs7{L;M z4DVlc8C;{t-TDx6!n01&9fNH5A(%Ona-IX4Q2S@MazD<(rd(T#TF~h&o%YK$6xr!v28=A&@&Xs*rX-b_ ztk#Xdv-%la`og$uPk^<7AULdf+jD~Q)M?JERV!(u+Z7k0DAp!cJ(lciuz1VbV14l zrqmkZPwtaGRn|u{8vDXDe01GQGS6KV!^4A7sWr`#9QctV5o@}`T$0TE=ZO^VI#Uzo zKqS=HCllD&_KmX_Jw$%6yl&jWKS@L_MM=?6N9cQbaat_!){`zg|( zwns>T6~5I5P7-B@wyy;>szUS@oFr^=3(u_m{Iz=%Ky=V=$=ipGVla4p!i!99YkBN_ zH*hASM_7?7=3mBI3v`4vw$>bm%8w>`2*HG0(Z;``0Sdj!C=dBQ(G^x`vgVe^L<_gl zcj%f@%OOH4&mOnTDIq;#TemP^`cflTECx}X@?9BC&a&F2m%y_yss?zcXFN%tpFX?(Ak^1hwgl1 zGfca)0DOsmdOE3W#v|M>_qTt*&{dGB0{yslb7xBuZCt{reF_E`!7))LwT!m-S`Dw* zTH{+kDvoICV5&#k7_&FkjRJ0-w)#1Gel|SEBU;JF2=1p%3sg7}io+4$>2)0a#_5mQ zQW@q)69t}Kvu_hB;eIB;*GiT6XFqNML;e1J3V>OOD#17qaYyvtAp7JRPY<1OV>ExF zNA)W=x?9bbRUUf5Njzl}-0U4;G}WE0=D&?-lXi*d7s@y*IqtM4&^np$kC2MV7W^~t zCuJChkhE^geOf3pvyXI=pYmfsD{14;3u z(p>)TR)+1dW$_NV#aKFD4q}O+3 z`G&?NpqS7AzL%Tb6mS3)eAJPg(N(u6l&?XTXUn4Oh<__TR4xjTTvqCy^e?15kEe{? zI1LOs?2hxFzU)YWs}}|`@K5(X|M|3MW!Bwx+34qR)Kc_pM^j#JcREh#v}kY2#j4zx z#5CY)H<+PhTFhS*t}D@Sa8!gIq~W00?xF9iiKO1Zh634R^_!(?3$ z?fkUFd@zp(CiH%RU3)kP1ahw&6-4EO)WkZ5o_cuI=OP@hv)4W3RsAj_Jqu zzB+k5ay;eXBxvySC&uyp`MXHd;PCnvA&?XG6Cz2V8d#L2DtH|zP-j+5s-Is^`|u<) zP;pyVn`Rc{)z1s@klhl{_2%)Ock>N2_SYy0nFux2j|)^D3?U-wSL!SmC_Vs`aWd0W zA3NtyGaAOYHu)&lG`YsQpLaruUtc=w?(9l{b}nYk(WkIeZwy z8SJj@5uNUREwHMc$+eMK4z$>}dBz(fV|7~9x80)!oiq!vsg&4SxM2u~M~jUBOX#p8 zoo?h@g*t)(%K9p~)_%Ev?3UlAeEo+c$E`xt2wpdk7q9+E%g-uy9~SV73;wzau7mQ$ z_j&8+(f_pMH=v}89gE>U~v9f)6R0^N_jy3_C z3ud89aj+0Yr1mvJ1hP@dvMT-OMWbXZ&ev?cb~gEVXVm4dAiC^0T(FAhHpFfZwX1?o zRA+HtxWK<%Z|9_aswaT+NK(>b@Jta*e%h$Kaa@>0@`i?(zQg&Zyo;aNCF=Zs_rv=SeRISO5+-kE}_ zR1*puaTW=-HYkL0Fq1RbxrlfW>bIoD*!=2VmN7A^%pAa=s^7auE$dwa-#8<*aNw;T z3M!3Sw_#FE7mp?lO`J$p4+tKlreGe<6Rx#fha{l$th+fNWUVP@p$RZpr<8CKYB@km z7(PY-FTwgQ(PC~{yfA|E%hJ*MzJRsMgrGv47r$C%o$y{*q+c@Ot*6g5&xdtBa!Ble ze?7Sg=L&F2h6rV=&gCRq9t1oPy*r`nFKzcOtid40yoSDr8-jWFRdA+~47+wehoPf( z?A|QEOdtnayd#)tA|)LPG_Y;mJB299DS>OFc{a6*o>A7d_H&*z&r9jaRPuL}i1j;F zM2&Y?B8t0k!5%bGenecf$?)p;#XO+Onn}*o7#P6DB|&M;e==rJbjiCgTgKS#Lv=HY zctV=`r0X=aHa{m@SPIzt%*F&wn5~lMt^QQPT!LgM>=#xPgyvOE!d92?!)r=@JwJ~8yR!dYi~H#V3MeUwfR7=y;KsnE^ek|V`cAK0hbOP#tY}!>)F4iy@gd1^JReE!V?Ry6bB|<#mp{zNtz7?`bX&5wW@yI ziC5I?lMkM3QZ8NXv=()9Y#)abx- zAQ6od1-*48M?je%y!m4pHzRQCGR!E^3%(!Y6qpEH(E~G^D-=PvH zyXv2_0*n_HGLGe=$rn3G`3v&h zZ*;>c4mi*cEko6)$B!!xP2OTxpdD8u6t|!oM!;jUu4N@!*Pgzf>koWJO^JS|` z9iY-MpoFoMvEm&7DCqiks*wgWT9~CQ=nt?T;6EUKK>mPgv6O}GMFnR4?_@;T5IPb7 zmQ%9B6U{);LpRf~&(bkLmVheDg6V1L*k9j1#lQfb8UGy(t=QK%a#fpRb#9ea@ASlt zS~<83r3}q9i4=~&6S!$+*onMa{amt@h+e?DXiav!+q86Xl9C6FM}{s1q>WCkId;^v zfqHD&;hXTp=mnOOlGVV5(pMnA#jF3%Um5Fp5<{owBbk}gLVe1z~LtjIi6qcc)tO!?_Z3x`+~MLC!>k>jFRAl(y!9 z+D}~kyI?QXVVWoMYe6OR6}4FzIiO%6TEo2@IJmQp=LVVo=2k10jTyWoCd5CJg6+3py+Mt{0?L0| z^I^Tl&_7^)!2W>y0pHSVj6hZjz)B3;`!lm=k~p!Z<-!tmMGDgjRRA2;n_-!(F*igm zuL!XX%N8RbRhq8Jh6KH4hx0-6>O2_qSmm@Hyq3&m~vu z-{!e{UN^S>Id87J4z0FKr~OMaWBEp_V|YI^05R{)T>H1Q`^u2GRg5uml1N z>Z24xe_Z%L7f_SPLK==g6#<9`2(Or}3^Xj|jlP)0@J zD($Ik5{QQhi7^V7`2_;MYbIK6DhqZCH?lnzJ|z0m2DVfdF!(?SkgS4CM2Npg#o|wX!EEZbSqgr;u#L<-1WXAGJB^gwB8CEmTaaqpqAUuJxC(uvsqB$5gBr)n^534C=RExN*_(O@8u5h(_ga0q zP@dZNj8HQmp_$n%KRU#5CufEZ?8GHMO4KI1o6ls3cMePu z4Oa?J3A_0+mUe9;G&&v-&{Y3+e|zvgHUjnRlas1E_^QpcZMaS5i{GM^wz zHCYZYnVbn6NO7o*%Omiu6CBE1DOO(+$h);E@$w90tgDbZ=7GZ|9VNwpN^#7lRH`FN;E zH#X3!JMD^kfJ7?VIy(*$bM?vK-@PnJ&A=_7i_xM|$x>B|UL)$4wXO61Bp70o(mdok z7iL?N&7{c7r66(IpG=f^@$y+V#d27y)EjYY+Xv^@ZJyuPX^XK`VccB^S1nR?tsVue zc7Nf1HO8yA3~!$uU$-eac?HC7Rp%F z6B!~8HXV)t9U9tmFRKhPs%>vQo^-kmZhrwjWBCqgA3UFWEL|L`2g+ZP$+gyDZW0FO z)5&|k#`H;P2AP$uV`Cj(z%!`Y%jT&Pf%kyM?hNM8UHIM7K*+`J3jM!3(!&TV%)f% z>KMo6(yu4#^1%|rR;~rY;v%jaGf#e|g_A(&qSf~jOG&G!F3xT7i_zBY5J?BKpA6+z zBrHNK!)uEhkgRW&&-7ABI$PNtI1Gnm;ngxp3I zu;3&`q22vR*hJvbJ_b_%4iJ$8YLh&9ria-Q`hVF$bJ3W73}f?0W={sVhXZrj_3a^A z)R(l5wY(Qp<(T9MH2Pj^Q;R=4+#ldk}WeonvUG_ahRUzoI|vVHU=419Jx| zC`%Oq_i0L3Avxsb{;AJleymw1#v9VZZf5iSkdsCVac=_!~`?B*2phNC`bnA|L zeX4T=_xDq?CHixME1n0N^s_pFSmj~7lH`LzV-M> zL8e7R%^+kQ1lQYdCw~*N1hjg*#eYA17uB2^0ij2r($nW$oxRmnM|M`bZTQ}F&15dY zW=-L8W*?UC_5dFN7`gv<=?R92!U%?le~|nj{XzDF{0GGk$`*nlsyj~*PVWDT9$rD} zXaI0f*kucc_<549Ddn6J#%DBEU|}%wzLVrn3{64gG$R#u{%5cv(sbhale$>Z-`43- zCZ4F13@q?aA1X)s54)*R5JW##gr`5f7G`K=OaY%Azn6(d8=%5>R)TbI>I|Us=r1-p z&zn~`Gl|n7sOhBI2AgPtKgZYp{=5TPuK+%EqU(D?-rO>5F_^C(6;!2_qC^?sB#LYT ze)}d5Z&;txYBqV%?%e|o)<}FRx6iw*AAzpBM!7Q*xZ)k4mQkYCWReq-<8WNWIlcet zWWi!I77F0F6)ZeipGv%xF7u7*6q+uw;zCO`pS*9mk;2bUUjz>B4_7g17&8w0p8@PC zZLSd0KF5-KKF6B4>sBjVH+wDL&p}B$MVPdjF@LOsCxHP-oU6)x1!3NIz2};QoX`VgQ1$0oq zPim;wi2a+2>q{5h;(?$q9kcHSxx7JQO1`S4o*jFapcTat@pWJ1fZ?UBlYN`$ zVCQ|PV+Tw@eS^-fXdlXZ7Hr95uWPb^B++tAvwY_+=k9imR~k2+uFJ@)R&7YBGrzz% zNBCF!L)exI2diD@4(*Ye^?y4$ANQdr8lDN20E*Js8$)Mn`$+=xsk69q14h5@?w9+1 z$nM~MRKkSR@WC|##_liTEc{u3YcQsHxwC~iezs)E4Sm)O=65F&oyzy450aEe0G!jG zhin{(>2Qr844<8ee_VCeHzAb$!HY=V0hl-p-*3#*E3S0G@A%U_kp zD9%3>?(o|Pb*yVvmD1KH4O*{`yuD<|>mu^eUIB55Vn(5f#nchJl!>jaH)jhllf~=I z7OAb(H8Jq`36~veK<#Ds5jY-pD<^kH0QMP$&1bb&x!^fDjiftx6$5R(lPAC7z;j~Y zYk#<)tK9*DYEFU&46@&Dpj>>)MEaoe?ZQ&`35-JwEdC;$T5^j=1QzpeAK}l*5bD4V zjD2j7pwOac#YS+lI7eqFU|Z^kIVJM8)Pd$1tLdff!c#CU>XQ@M!yV%Gs!GS!0C$B0 zxoOcvBt{ub7~3(OYSM&yfg&AsdS@@y7Ftwd$+Xxj3_5NI{UF1N>8HGP@V1XZnZQw& z_YGg~vT;YDGNyYUO-LvsV_z0#JXristCnjrpz@}%GhZULtvEs$pWQ(&O7h)aDV-X<_3qmJXQXIq@ z*gr><=K!|`i8IJpIMTvBi6^A8Ju0rE(D${lSs4qZB-ZlSoN9`RNkp9D_@%U4_9JJ^A;6Wxgs7wH0N6^Fc7vH&E*o5A9C@ z0(7#BL?7?c1E&6D?y002E_?N6D+*s28lo!}Bjiqjs-?hM<9P)5yt6~By({M9jv!I# z@L-6{A$5)Cg6mQZ1yzjGhb#kFp$U;O=y5S;Mw+Xl@e_7`+dKN_iWZsKMxzM_W2Zol zQ?yi?JAz4x-wKHL($z`m-s^E1N>I4JSaE0ynGP(&C14?}c1{I! z;2Zr;31n`QRPvPymd}zNK42|q>lBrp#SNaLyG-p|WFkY7@pcLJTvQ<6iKI@Ojs*Lu z0vDMubW>e2Rl1knz0V$sF{%7PB^f)@9hLpJfT;qS_x?*AK6T@C`QA`xtx;auPsOCGku;&oI;~Fg6MyH-x%Mq zO3k6ug9b%r8^{R-AN{)3tMvTWLIjPO3s-@?t%Sk|D*`(Y2a3|`T?2QQAfSVd0%-_= zk4jWO2WL4lncEL44~YUh@w-b6d@4-)Bn6d+w@*~5%> zL0`a|ENcw%9-r?bI1`k{goQeZxr<~%Vp%N_Y&UePmTQ< zkX6uJNoUVrUQ+KMKp%Vn(O{tex9c|FRiR)7jE_;%;NusS!LK1_jaTMt-x&od;SZWO zwDveO>h$JZ)7_)G-5snFGy%%lUAi)m!%RRpxY4NU>N#+RLa_Fw%TTEkh~yC0q%xwA zj?jp5pVGpt&<^D|WA{Zr^w{KR8K@d%5HoJP2=5xm5X8CSAb%u(@SS zfHhe)3RwsLUx=0weL~ziR=^7cO0XlY_Du{k9O$#2h{%x<)4vQTTy#i3XGsw$ZfU5L z-H+jE2O8^``1k!Py5gg8<1F^ASc2ocy{_$pc}-a`BiiC*?UZ#2j$aHqUg%CUcQA#e zO3S`erAc8EwHjWW%u;P^3S@vVv$Z6T4QPV;{{3F&9jl;SsM7+grDjF zNEs80i*XYN#}HiNh*SK-t*Z8G%u0xmRkBl43kxkJyKo@-I$*tn=2+XGh%J6Ri%Dx( zAa@F|7So9A6B_H}Nlg$i6?!5;9+0+gDrzWs4qoqcyGhwFI zUxQGmj;%Ar0PuRHIytb8aGxiRKF=P_A6?1&xckVWW)Y`Ik?X7U^?Z@(AV0k+T62E} zo>p0x3}7usR%?7$4O$uc`JiAKOZ9~iD#_w<0=MWXP;|2vn6S)E7gvIma*0QJSD!;p z;|oN_M(ZQ;q7i?UV0GGr(@-w7k51HIF&iUu9sW8p11NNGLUd5KH&rNp$Zv=(#B%bo zU~A870e;mt3a^lFY*3ylKOzQ=s->MksN+ z#?hn}xUq?vF4hu~So2{>#I_`O=?fmvn@L??yP#5qYQwe-mG4U{6&pkqG|EZlg9dry zseZ#i1Yk@9H^OBvE}Zk-mr3ESV5V{Tw9#j7;@Det(NYH*$SszPAs7ygHrGmEPOZc*Ns8+R?;%re35FI@ zWOnxAZU50Sx>x3C`cmWA=MZm#6_v+@&KllrUW z5IXPnaP#c;;eCyb)X_2`^=o13Sy_WbL$=6~5q$|wfQwSu27k}F1bRAhlLwF;3p}dM zYtma)8n@XJFts}ntp1$>wO-(>bECE=wCrfPl#w5>2bW*KcxnwO{0nZi^W^KPxArN* z4Y-huJNP7TuOK@TCd>>;d=VVYxKRwHG+mY9}! z)a3F8i8x*|_R~UP>g@8{%*gKN)6Q%bW~kKFZ6*w0_Wu^oSUXG%fV*>_us&WU4^el7 zEdfac^y;SB-R)i|rg>$pKf5?wyLv=03U>VN&I&L_bbhh@j?#?5tbEa>x~mFBimNL& zeARmt$L{&CUnqBBbCx-|wPU1H@r4@&-eKKHao)_ko`_QLtcuSkj;6DN9~fBlw6HQ~ zXF}Gv%|ZNLLKk7DVii4|$wk878)q|(l0w{=uQcN|P{qw2_j`hDeA9t!w+JOG(@9Do*ZuFlPaDxX*)Qex zLjQ*7V%mOf{lv6V0Y~oA-I*xgFcREV(QI^HJivg#zWV5C@LFHmblnWRis-w>6qms( zv%#T?pZW1fyipk?KmqvTbHvI&iXfP&u)~5d5aL8%a%4?X$o{GDwH^nF}cWc zM~(&!^HIy4`nyh>6l1#lb@VDi}Zn)EF+XtFETVXd;vm`56;sJgcin?9&8^ zOFs~O@g7{JXltw>B7-0iOscj0E-ANq>8`;5w!fm)doG+CTMgtdUy=}K%bQ_`1+LKE zrc8v@o&&6|YS16V;F&;7-boG!W%qaxaq8I_Ti_vx(;Gy-wnX{Q@GdSxZcC7_j81@2 zJe_?zL|S`hL4H&H)IH91tneQ1q#V$hE9x;ikCf|j0|s=PXibVTiZ8*?1BTHGBRY?6cv{3Z0<1p z4(PjL>~`U0`Z<=-5~jV7jCvD^fQTm##Pqin2CHYZ&fa}Xqo4(lW+F~_%a#z}IQt-w z6kc37evSfgLBTw=~YU2K?7lMMC!rSJ@yU&A}$Fj zzt!yRo)+xP%tg9AU=i72H>$My_eq-+ZPUgn|HM%Ii_XpKt8COL-fO`2Da!KhpjiGn1bXya|Ar_Xr=8FEyXH>UTjL*@yWexsiGCrda}Uy1Uh zn5>b>|pJhz4u$KnR}X{a@+9MkhSJol_O+zDDWu^|F+*y>7eBPyh)C zr5OuRHoxS4)I@NWJN#eNM!5S=OvJ`t=?i&-c)QU6xl+~m1q@J(Q|ApSAg*^Jh+iO~ zPj;a~l9*tDt>FIJchGEM_<3MfW$ZxG7xu_ZpV&e_$7hDBe@X&-^%?wxBf)iAHNh%q zgV2^j^x~H%!X-I=U1^YmREKqr)dMz(#dJqwiq6}uE30V4Js}i(&9K4@gF5TSiO>d- zWhP{CWx4!UBKScoYF#0@7ZemVTqI#^aX?i9T>Kv^B6!lKW@GGb(|~*6aDo{&BBRAr z#Rh*0OTuP#?5B+kl9~8nL+s&fZ33A9vK&U<2`{y|jw)^5MwU=E`T9nSbO1MEe^2#g z%{akI|46qEh9`$vQl(9Q)Bh)BU_S6?kddKJIhB0jB3koONkNDO*f-O0g8C8E|BbGP z%gzCsz;^sKtJ6t!L4Dd#)&LGR_KBR4I#EG;32dW7i-lumJIiQpWr#dO7=w*A>MvdJ z>&@*G=y2c>R2V|Zqro;06R_mO6^~$}LqhA-#xSBEI{`lcCCyd%lx6L^FtwO4YE*kp zLHV6IKqoP{SA|%9slGNAXNKn2hRhz35_@a5Wky5IRznV_{CvDzkY50iiaJ5$Or2E-$j#;JD~$0FWVyv{V!cQZ5J7 z9wC$0L2Mh51W0ElQb*5Kj6Ig4q4~Jo66H6qYrh}3gbPYWtY;PlYjY07mj^DIE{JHU zR`;Ey^kaLaZTOM{8XSSo-b$#`0&|=vECuM}^VQJwI2Lqp%n%!;v58 z&+#<3;J~3eGXY|n0Df!N73&b2(k(RCsjY#Jw&3Chr7l}0G{1dIgf0re!PC~v;@y5d zpP3n8cHqK$A&|gUu%C9+e;=!-cJ0UZC#KsO;#g=GZvqvQf_dd;1?I)d`|ES*iB~p{ zxxX(|!Jh7sg2)*KEiAxMT6Dfy#?X6!KwWgolyZSvqJ53H0+h!4xn3woEDDqTsB!R;>|Hhoff zC&Wxo!ptyiQ3O%+yR*AEm}&?{r=jDBg^hl}g?(%Az2XGaP@O`Hca>)-@Y0?)#^y7=`%vS4y3pr1IgNMor` zz`##MlmJCZ5qU8Q_`3|{rYhUK?DQ5G3#GfOs{_3qYrXJ7_dc(>hAG(%QZy`6hHHD= zi2EoJf06PX;9f*&@T3GW2pNaH6r(*71WLlQEyBPT3Iu-59xMuO z5j?%cR1{+Xu;U3~i6?>xwoD_Tz%oYvdD-fEmu>VPy3E!E*uZEN4s%X_>KkW5x%}zE zxn71~if>0|VB({0kPM`yQX&l_It&b5>P?I1e|*Vf49-qsE&*RC=h6#AD*5PNN&rv< zfZuC+`Ca-!-7J*x0VL3SRwK~d7M&+b-AjYElLNxMhrB50ji6ZSI%|2;j2@+4_|ko# zkGI}C9^7tg-8A&ETLkF46Q+zcjDzoRZ!illEw^(_60OLIs>{5*nb|!r*HvdXXzL6l ze~&iwRh1(%g^Y<-ESA0>xT}3`{7$)>tRx3)v)hG+(Oq7i90-_h8kVZEz~O3DLrU3H`yJkIEbBSKa*6;1ij4UZdZN^kjS(j=b8 zl9d%NcwG2sYN9@%0d-L<8M5RTQ#`kLe{vLA`^DDQ5g-7fGwcV^8FiWX?r(ct(past z?c*Zi04=S=v_rG6x?)Qc8$I224T0SEh&X^#1d-C92Jyp-iMM#DPoNgn4Uy42g*Lo- z?*Ac?gv>(3w#E}kwLr>Cv{*}Ily(L+tnk)`>S{Magq#OA(7T3k%X5uGkK&f{eKP3n=wpU~g~zOu z^8vflwuaCHL-xS1%vdSrbsGdqerxW!nwy=W04jw@DGX$9}oJKZ+k1+NGXYA{1u=@M=oIs_? zlmK~1>6Ac66ilEt9S$wmJ|6WbqrNs315a;lDKVQ3R38?|%JoOt@oVd`O=}Se%K+0U9ffKOg8?5l$CO;etZ4)a_q0-Wzi|1A} z&{;kw6#$aP>E5zJ>E7_uj?bsM3Mt2`Y4UY1NyX0&z*Nu-TWl>^{t+Tp-(&g@6o8xL zJ=Gk6Ep-FW-4wYtf7S!yxo#R7nA9u*>l0rNS=sf)x+$ihJ5aVe2yAx%FKbOt(AKUi z?1{uhleb;Q9)fiCUUNWY-|#A+uI$Q&R+d_CseliBcMa&AagJKwA$B_OF~%fu&A4QZ zn#Mr4-O<7oyy0d)!7le4(%okIV9Og|3`^#lt~N4=o`(UPe=%x#X+V7A^Kh)V{~+%H zn(-8PLffI4vd`?;-jb+yppS!Y_tloS%xYW2$zg$<`XY=x>l|QXgf!R@j@}@cA#~he z9{{oIkv4)N^9Yh~hMf4Cl932}yB(N2fNo{bB;a~ua(FS0G2-5q0@G4|AiXWK4YIuy zPENf^fr2xke>K6H7`i7w`qdcYvqvPJRVTci+C=ymVc2X*m@xHqGWB7k3`%yGed?%T zNaMUe5pNj=5L6H-nf(Db1E}~|8=OS&+p#^EI_DW`17I zRUd;Ea{%l&XB~O1+bl2p7K`r!2NiqQ=yCR-uvqt_f6EQ5X~eW}0jx;jMOdx)k*!@O zL?{K!HK&65J^+ML4)7C^s+7ctgg@T+vk$=f2=eF(6P*c&cp2J*MX^PJ2#{IWmdv$< zYJcFw2Y^iv*bF@mwFccP;h3vZ_v$R>!su*|3zH2pV7%#aDoYLZ1S%K;bQ%yokf~tU z_MM6ge+Hr&&rr#rRfUfUd~up(_~a<1x!u5x?XG-lKncEOuHL?*(E-334EY{kV$4Ke zz6T=R7${D4^F;r@w^uo9th@ag{3^L>$efBq+%*w^gAqQDlZdQ}q(E*jb{1_G9G z-4W%|HoC#M(esv_>W zc=$?J70(g>n;(bnbFn<35FMJDS-+0NEEp zZ?FD|gPedGKvVD>l(Lk>_aFK3t6kNAe}P}H)cqo>rdnm`jrP8l{AUJw0bu1EQvX{3 zHcCL}y~aky+|6M}Vz8SrP}aGPZJ6{2d4c2N=2eJ2XX=D6)|z=hK*N7Y@^Zh3=8ieJ z!MC6AhEYL#`uW|DQw%wH&*rP>{MUE;vkg~IB{d@L-~8@A`};dySO-v~Kl?uqCY=9o z2-qUDLB|r%p1?fx6d?yGaD0%uGIXe6A1AhUWgCk6rkuot9my!fA76CbzF?$Ru ze_C5_>&Oj$&#zF?7a6GMXfC=H=u3m1ZMNv{X%e6h*@Hl_$FUk)a&<{I|Gwmqk}XBK zdV97&_r)4fGaPb;myb*W@74>v?@s=FdGhJAkzOj&NJZY|%8Qj3n?#sYdzVY^%0IWW z&~NfZyJ@$xxr!722Y3X&BR|Y!;Fr}Me|@-UX7kX`7WjLIKYt+lx!u^Tw)7GRU{1pu z$HT3eeZBntTBRFf&RT02%RS0gPXPWOq9TL<6WHm z<0N36f9A31BtpBor)*{iVQ@gVp8y@APg2|(d0#ImmpXe_^Ybi(r8!Nf0+vX z`@C#xrewEBv9L@!sk`k4(npDir1n615vAru4PsC-4tp&IJQAtADAYp1f){uMUT8#^ zhEG_8MhgiFAH#!HK^7*B-=j((6ugKqz@Nyg;GBk(bH1e592j7tgo$9=G!hbS&)w1Q z!ARiHf2`=4(WBnkMZU@x^j6sBf5Dj|zAWv1zOaM)d5bhKajvvTr5x8Ua(tvx#ne;r(bB07mcl)o3GH=vxTkkL*m!%4x~j-K*zZzRXe=3-J3*+pR0<^RlG(nx7Rg%WgKf?c*$>bzur)1CgesHCu2- z*-OxNS~r~@x!PTmT;S25y*Fot2d7`|n!GIj_%!r%7B7uZCOpb{Lmi4#zv6gt0!9)& z>%7PCU{yj*&h#GVor4<=5aUnY2()MkK;6;Ag3#Kt;2;(1c6D^Gc@>BMj>PDrg``A z+7@7%WK&1){RlpFxLHJ-ZSuOY#dW?rZWDKo9DKm=-NFH7zfh`Je*_;`?0<08Fl~+x zoAq}w@mrlh7z8g1H4Z4h)a8%D^1L(p!?=D1{-cA`&ocPLaIOF^9{9>ggGh-u3cJZp zD9te+_F1_gUeU{QQ=ydHC4-=`)I%m*Fse;DY22<6ZSx(DpF}6N9 z5r6;@nw*Y00~`_0za#t&sYaVf;SqxA=>*JxREYpA5BR>De?MAW5crofP#EJgBJa~p zZi|M-aGf*C)|l?I5o#LUFXAH*E@XUkIZNSiU}civ4HkBROcToPNX+QfB?f%2d7mC3 zAn_^Mzzay@ebPrB54fakH%nSnWKCP44>+LUNY1d`?rTdF-Z%Caox+pbiP_wk$p3p* z%ydB94rD%ke{t<(5Z@ON%lxN6Yg-WqtRF1et{W~gHmUv5q1Bb0^;tH~Y|A4O2?iHW zjuD7YWn+VFo@LnNTPu16pit8401_%=cuN>eayOyN$u&(OePF;Y0;8tf*eYx6n8!A6 zAj&TuiAT=snr_!K-V|`<9gi})8qya;7YOwf2%7Wme_NXsb;rl)45M>btL@8IAU)(5 zIB{Eu4S*AR_17^Y<81k*Bhg4IN#&2V&E(#Lj3?-a#guym;Sp>P3oih)j_Y z`Xlu(9aG$nwTdYvXmlDbvLKx8k%9b{W-%t%vgOj zD6o4x--SRN_h?w~r1b~tY_MBE72#w#++@|Qe{HVUWz9P-dRcQaYaHL$p&n-}YPMX0 zTd|Vmuwj5Ki;P3wL5dpyo~{M(q^LPOgdPLEr=;ns_CvS&Z~S}skrr-o(BRD0@-yEU#d(Gg<=r0mMN zf6i}Qh6Q?44!SxKqwwjj6wg2(I%q0Idq9Q6`#d9RmlSc&s_e4=mIW&L(>kk`4~~Du z{FmGV<}O&=rF=@K)Qre~JG;1e*6k)BT3=g?a9-bQ_@%3%zC6S8;;e=YWW zj|UdvZ@}VsU@?wo-&BJ*5oRAPUsZso{|1bHbzqc?`^azkyWMHlVmh4qGo1+_;F``5 zek}TCS0Fh*!+@G5FrXlUqt0;QMeTc+@Zn)?dDL|pXqKd|6FjFHq(B}(ASAdR2C^R^ zDg3s{?`G~l1l-#J^4KqRy<1jqe{gMezRfpTMGcza9}|&+5mN@P`-89lBz<7Sjbde@ zX8?=Tk%)DFnA6d0bpB~1!X)jM>m&XaDm6b`FhD(VPEmV)9==j1p3IEog<^*eF!~={noFf7##*9*Gk_f}4m; z>YtVcfCh8hR&)3%qgh7!cz*tk>%f7$a zcI8esx3t*VDCI$xj*C%!e;)h2Y$`#!s5{wH>rvJR+U(xNsiNCjvdfArl+r9`7>`0A$% zYSgm1?*p=Q8ci(CK%y)tA`_(-Cc>g9gZKo^TZ~L4{_%{H5{U9Bv`)IZGh}*CY#e0T zqIJp&OaT+Q-w*q})>cO?E>%O*fMO5}y6Y;AB0OiY+BhNpZ>o zbkaBrVt8wNUSI3@Nx*YI)lvsCw%Z4c1Z+VheEzJ>4TT7He+}Xo9w1%^Teu^azbrhC zGS7Ubp7w$`Sn+~b)0v0n;=UB3#mfa9@YY>V*#i%Z)bso zv9AbaV_;TPe@^B8sj!e(EZ`|h7^PO;*&uM$pal-4C{8(M;>xBN!~O_T=su^ z8*TCf)MhU&f@ zYMMM8wlGn(yWP;ps#N;$!THyaP#Xr>VW)L;_I$0H&toRF`hXJwGCN( zrch=Sg7-`zo+tVQ#VKj|m4=JOG;$+o#!diWc%qRK0DbH!gn)SN7Z{?Lg@)?NPb^9+?1caL%$y3C$J>DaR0x!yL_*o0YaL>e?Q;Sl)*tA^=?}-TH-z59*re#7Bgs5KEdVKL9)No<>_?Aqy=uKNO%N)eN9u ze+WRE9RdG6@m>psRRSi?$nr6Z!Q_RT0SENo(|d9qGsyuSk@oVSvk@;5y$HUFSL28h z;x%|RkAoD#<0#aOk_bRw{8haA8XiU!V38kZ@h!LtF_PGGKCw|V9l5lSHg+Lx%u@&~ z>IHIz+T&YP{g9Xh9+*QV?uvVVN8 z?4PK@ZnJ+AaoNVFWjxtW^P9)j6IU2?S+XpXVJw0(O>jv_@+_+k3 zpuBz3@LVfnOX8W+$2dGYm5!4fee8+U?sqdPo)lGJffHrDQV57Sf}11EGUKJjlw1jJ!9kwSb6yg{vH4rd#}Appd#_FV{77*z2M)W&WbXe_r$- z`+nGs(KP0k7XJ&~^bOBhS8g_)==)Q76|fQ%cl^5hj>l*7y^a^7cBoGle_d;9hCc@R zP}bw8TN`zwOxqSK-)KL$icX~Alh8<7!E}v$|sgE2n{8h zL6~c#{j$;syh{FQ{w3|pI$OM63Lprd-E98bF^L17e)nI;FMp%zdsGmHeEbv{ELUk< zeB)c97bmIyPhn7V8adK}lz#!v#0WZta7N=EE>j&oAn6V(1gOl3^~*3r4`)3}>2$UNgF#z8_pn2VVyS^ui7? zdNAZ+&xzK9n_KM6f&SjX$PIjFt7n&o7BL-vC-AEF)U$N?c2O;Uhs@2ed~bU{6}$fsr1st!VM^33WIJ9{fo! zz-*2Yb-g_voVkdA#YZu*#wZ>>7cVge_`wU}SUrT3fv4J(i4Bv-8Y$>g!~}>91R@ZB zKOLnXAx*&Tt2i%Y@;Tm^*PqNEgp+fmSo2m$o+BP6jtM~lyd4me4fdFJb%6YGeBMxac90O6|Y z1BF7%>k>hvMmEBb%LG+*Wen=8!ZKzY`B0U$9s-FY#W*)(j`45;xTw%@Zh`J&Itk6_ zGARJ)WTtU*5k|5lP-E1t_^I6T!A;btHys7Q(?CzDF%$+9jX*n4=4y%yFr^-US`JW@ z{1Y=QmR2O9M5zxrsMH5sFrn%YjZIq6)rcCCni|`WaZ*$^DSeb(ny&Z18q8#^_uOg{ zCKh7TPuO*3W%p&n+y{~ z1O6!Z9>b$LNCe2E?u(#zFP~q31I2I(VqqH%XyJIwkjZ*t5#h*o9z${|*(Y zQlW>{VQICST_c;EQEXY$<+!u;YvV85&VGiIJDG6s7;%w=vnm62;WV!{ zAs(ue#x_ifDniwq;)m}zzUi1+z-Ot&&aEl0^v-hvbo$nBDnu|t0(u;~AEsIP^4#sX zbzJd0bV#|DSsbp+c!#-vfWn>hp3D1q52&7UrDk@d_ny7*w3C!d-4_VoUtbgte_o&C zUNia9IycU{N8e4F=W(6oRavWZ`26EVGYENKXRSDagJU>vSJ!QvNlVH*xdF(k$J3?k zONAoL?qso7LDyw&i8D*tggd=-J5Z-*PYvEOtwh>Xx8h+d$f`jwNfH?qQ2Nm>EVN}H|=)Fq(|149VXS_mrWWmdMo31BtjFnvcs(! z;wELc5LBgD{f<4&;75)zMfbEkgWhr*&ugf#J|mQy!I$zHF*z*iW<3y`4&N-pjlNyC zy|d>J1;ChKfhxGrnz6ew(_PKM8;ZPpwfztDlzYdQkuot9munj_)qf1eb$8R*=;k=z z*Pmv;uV??vTnLUJ7lmycJ`PEUl6-diouCxPKOusbafA-tTRHNB2-*zY%)ZWu0Y**% zE+Z7;I3#Wh&|k(lh+xDeIPgLZ@NYs%A`2yohNlo1CG%}1bKNT|?s#eb zI81Z9sI9v}^g)CJcYlc>dK|?5lL$fp8PXobz((YH$c_Tq33~X4D9z?44nosP5vHnr*&}d}!VTNuO z6q4|6kN^S};SJipwsiHx!N_r<3z;NjG~1*0T^i`wgAtewSbzKzECemQC5~NJ!}!JN zY~OIDJL|u6Tgn5kbXQ5;hPp{FbKPk*NOd>=^GsPI3c;=G;+RtHR{hWp-io_$D%wV@ zWjry&)v)SVDvF){xn+rxhQJ8x_79ec^x1)osWZMSR_wU$ih7VBwXbBe+dD=y7rJv0 zYVW*|i#~*45P!mk_i)voTimtcwc!Moh>b$Gd-l*ynTUekX(oXS>$D>VKSPUs=1k7s zN|Vv6Yci2XK~_u|w&*~PCt{jN7FLe*D9587qcb_uN5wReM2s9SMtY1U6_BR6BlxYf zLtjNZ-(+Ki!wRdQVk>q{#Ycp#zQ~jhw}+Y+lNC4Fn15V|l*1usD-x!JG%?w>4<^~O zqTtzyuqIM6v&d{Th2qr%GoCj84mo-i{mLwphoj|#A-iN1%Q-|-;}^L)&%H?R5|(AP z*cp1?>E`pd-`-zeFUVAgz|W9I<0l~GOvO`?*lbY_z~P+y=n6O=fn6 zOQY2B4I&ja+hjbQO2RGHy8%q2tt*z*d@6N)9HA&>T?>FQtcID$E!@6N zF;OXzPgWZmB{E>}q%dynHg~3RTdPiV_6AkTR)5CR7|XW*!m9O%>$N4f-pfiYU?t~g z39y-BWtj<{+Es6B{A7#1NbOqdGf|e6{2_8U8e}E|F14Equ#?solkHXZY%@62JWU8M zwz5k2bldiW{bn>fCoIIQmqkF#$D$}2dluEC*|H;lX6qh>qIG7XvFDe%Ai?rD3~1l!dCqKx|~$uBl`JE@&xhMYURqQ3810v*hwu36rh6Y(&+ZoTf#{;`PAdIu+cO z#Dt|-O9pS++ik#;I4$)a1}5i&ni0}eR;H?>Dp;h1Wok&4e!-SKuX3jKoesO}6&x6j z03m>YBN2$xC|-ZZQALx458Dhpr;ob4a((0y%WxeZ5is&GZh1gI&)M>;v4&58AOYCf zIkp5g92jKUZf6O{wh;0CXRV>O0#B{uOSE^=B{9s$$ie*2l?-n5=riKkO;6$4E~uak z@GG2T0RD#Mg19g`*c)vTWcenD6Jx>3o*J~jBtahd9W{TZkn3^Q@RI$YOTkm#$Y!!! zWVNht28q#8)SNc@Bsj{eile+LIMq2~r{G`=EwCJb*&v_VdsW2gTq(3*9R=j+5>NH% zVmWG^a)7mY$}JQSBE?K5hqrU9xAX8IS3{UmO0#zfUAR253zHqkx-*x`mQA8mg&te} z@*fsxdKo3?D-+@)wWTLQb&8=buKj(52)2<6QBj?eab7*1#4UJ-dd&ve6wLQ5k7*+7 z5IYo%Gaf}6JdfBq?u4vkP>RK#iQdT*FIo)c>OOx$cz1qTZZ5Ar;YbH)r+lr+INdZcYPjAr0Wr&xB&&BT*9z&2N|E%hBcd z?ZY;%J>{SsK;eE*t)g}2ri#|u!K-vaRie1q>yFcAJ_6KR7A#rI6!rg@vW+RNkfNhV z&c0VH4L40!W+MCD&EKaWsQkrloo6CPEWLjzOTWqO_v|K`Hdepq0)>wvv`ZPMK*2hW zz`HiEBChGk+K1C1Q>5E1_p>15n!Y$*XcC_-RfZS&xrSe5 z5{_nQo>g<@u8H|-FF#hp&ZNSWb#H$iX0#|-QMQs7?d%qG&8M6xP2UPlGQdI$6~LfJ zM5L|dl+At?C!H7PWSH#;nN#h-nsnSF)44MJE**D#^bgSS5Sb3$d5fE94+S13;%3ha zcxnj=9}0X&-|smXym1+)mAHgs9Ygs4w>azV&z_B`!>7M*e z;NbTS+eCz@dvn(XJp$J;`&SFP65k`#6};#7U9gaA2mPzGF%*|hCNbH644v!Zyy>H9GrF3-8@!ngz6=}yN05WU8i$WV5~6fHm|YQ+LH#>K zuop*Y*L<}`K8@g+qszhX17Zv#hX#5P3UM3~r#8^{VoW2baR{bvs15v!1SA!O;zh+W z02YwpLW;F6Z6q7H!Enn(RhpV)S=TUV<}|47a2@nC!qoA95TM62_V2YI1S7+swW8BU zK7p$}_HjJ^B2IJSgf_@3Z^)IStSps8CkF+K|Y z0})0p_9=`SQ|y5A8W(*B8UfB1NvT+=&h%SSWV#UPhgvaR$VIV)&-9B-;01Ra1p~SV z0}?cL92^6Go@T66WV~3)L^0h6`!!no(-_gI0VB|?8S015C{$J>t{%NQC&aP!FQD*s)U6=(` zy}<>ru89Dj?(AIeCs5t!G5+g1%UP;_?CMIgBx~1ubv(55Jv2+aKa!mHw%ly?pxqU1 zU?ZUv)pBJ8erDs5)qc=dK%BGAe(G>LeYA$LI$0&#x=BwIJauz!dTTvreD+eB44++- z`q;tJVl!pXk>i1wdXmKnIqvlsosi=xG4-`Mf7a8T;PrIp|984WUr%?Ak-VON?(~1U z(?*ugWr7#R^{#pBxR^#K?h?#>K`puZS+J283~$s|ZV^u2CO||BeC*P1z6!Z}w*y`H zE_NJO1EsiL=}vP-URsp#j)$!^8gwi--7%D z;#VCm3T19&b98cLVQmU!Ze(wlpfWK9m!m5&7XdMs(YFCAm*p!lk$=G4z1~?KcFpYO z>eI!CtBb!c80bX<=JXIGp-GT$F0OBAumJj}fD)di!K0nE2}GR2a~)h>{B=RSO?1~b zo(2iY63Wmv!7~!4Kw*@`QQ{2zMkURw(iCN@7oeD=;j*qa?rmG^StP@UqG}sYQTIsE zu#8!)J8=W;`SxNd9f^T^YF7M-E*t!T$hFnHt86ZVB$RDBx7tKTz?g{DK`3cU2F<-yROQ6 zNB`A57^OcYi7}E%c|cOLs%mpsR4hB5`Rl*8=J&Hsb(A!7k{iY7;C&(rwmNlR!D#v)VK+YqSm=tqnM{z?CO_@ zLOW}cBS_~I=Gx(fFBQ30<*_g;H=-ux(`Wfs5D(2tnQrac>u73KHd_exvQx}0RZZi? zDx++WkE7{pqnGX4SG1X+&JOs?e(G>Sqt3^?Hsw#b1UPBY98?xUke2J1VL^O@hs8^7b6(k0@LY+cab*oSA2%}y&?|4o%q}{FC_B~>W>4z_7 z<@@78+nO}2vxBf0xzaK_(|boRd^_52RQCko`+w_=dHQHOqiyi=NY?SGf@ zypS;&jo?bJQ0x@Ks()8N4}LA3(rIm04?nU5vbI1hk)^InIBtkYfFmFnPP09133DB`gypS0y8`7I?g4dMSHPYj zR@pd;YWivRdtaCve<- zt&s@j9tw|qTjdezzO$MUxU|A1XLi8Ucs!ZIVI9z==}z%0RWBZA-I;Nhrz_D8l0M-K zw@_~mz)V1Pgh%Wwn8~t?FxZ~M2oWGNUU79EuQeR~AIlEMuhr9=;U!n20T(Ws6TI(P-db+220-MY#@(X{Xha!J zCNFz9=l)W}zWIw3Y$y1w+<*Y^mVeJ+;5+_kyw<@{2-S)HC8fqID;lIiKV3Mp`z4KS*7)Y( z<=E;>5Ro2-3Q|IOZ*_OGW81rc_MSjt8U)rDK~Xv04L}y00jOI}_$^If>wkN{efa!w zLL-1Q2^ZZQ3r{HKh;nyHdVzp}i78F|eHy$O7dlV=tLm6QRv@^;WU~W!(6crSVIEM_ z(&GGhvf0sx?m!m}V59T+%&dg~{>;2>uS z;YmYJ`}28$!#NXcnCtm-v6kq1fmIG7Rtc+l;mN2_84WL;-z@@&S*u57q|y^x6(5kC z%F%Qea1hlCKb+slhtde6iSD>jnza>1#hvb~3*EbgOn{DS`Jh6}8j`bG58Ko^-Eb`? zRjwPWk8)kd-1y3>tZZ|CR$XaiTn|fB13#6hCW(f6EYwg-6Q8!U`cNTz6>Hpg~Gr>((VTU3K*j@`J0kp}Cd_Gh%CWu{viNDNCvH zPIqxynZb1{tUdy&jJ-mItTBTnLV^fZ8z)#!E5W4ItS8;JYW#eETXV|Y{rZX$H^ROX zg|X|$;dg5+hBAa9w$27R66`tHkKEY%Zk-}0^q}&gn#MZ9z;`i3?MX_^Vx*1~%H@E? zjLTV30TlnP@Zd)jgs{*=XU_TgA0)e$2^|8wr#hi!QzwpWoyf$I1$}X05*HzvqJbm^ zUuW$=B2yN_PaukaL6(ZU?T{2;jGAX2O4C4Mcm|w!Im++O$IiR^>VnzMue2hUB_y$4 zz$48*WaUS1lRn-U1#SeakF-OprX54zLHOKIY6&Al0ey*583#R;ORIC~`EH7_*8GbO z)){RWDRp~WHzuxyEH~Lu)G8Y}yR_z@45r1GT0G3$r&T8 z>gryu;-cPvKI@9{Q;7(DgMr3nq4q{5I~z(iK*a7L%wy&}A21=B3Yv!_v-;cg5mp|8 zj`&Z`cs^{<&&ir&!(;}S<2N5(?em!iYE3zvia;XBeteZ?3 zhMZ4-`-g_eb8&#}p#cuVu^H8`4D7~fV8<9UCE<@)$r49UVt#mkUS*=S%MlH!_=a%~ z`8|&1aN&$kRbE|X=hlvm8T8~MN`6A%R%>QJthc-RwX&?{Xq`tarW)%*8Lxkmq8#*=lZ-`|*g?rUq1@ta?F59A@ z%?tZuvb5#xc6Z~@Hz=X+YFXlMJ%rs6$q(66Nljm^k|_>j1t~|H$?>&)7`R^a;9L77 z{l6hVMF4D$z1___FlQ8`Ke{@1f>7T7d6wa$bnNH<5z@famyt3t6_=_wG0%Si<~Uqx z9(vFCa`Arjb}{-oazHO?IjDzErgUm0>(Rv}wNjwJwwdy^N18pSqiWCeES2?GkOyTt{(OxQ*=1t5Uya;b!96a_AkHTz7xFfsEb zQafJ)>oP?eD@28(Jmsa#vs+wXK&x?1j2(!5P^Bsb&Vz(ftaBk+l2Tl*2AlU-tc(%) zl~O67Mh_Uw=Vxz7w|;+0V$Wpsr}EDBz)rQYAvh-&NXavNc3xI5HQ$CTv`fxYoRQUw z@x-U`F7k>w7o}pOp_E8$w}u!Hiqzp4*oE=0XVL+dWm=IM=UJ)eLEZ97)tAU=p%0bY z(1J2)YGVyooW29NxTI3A-;n!?vvePzm4T8ggT8f|P;EQVH&B1#;O$!daEUY(?0Qcx zlz@;MX4=HP{y4=2>#8W=)x>P-R6fjSeXn2{Ox<+=LukZox}-YkC-w(DAGwo{}Jr@2%@oDE-r* ztL;4=k>gqs6hMC(-p7Jk0fn`(yTc;zJ>o>sqj(roqL?`Mvlv=3>>s-KK)302;2;VK z^-X=hxcG}D*DBBg@Y)PZvl^bBW0xR~>M{XUlH2yPP0_u_RvEV4R8%_xCKw)Sbf&^X z^`6U1!J1+TQ7g)?WW(qV2$2qel&ll9%a_4^V>chPtV;z>Dg&$ z^mBvO${<9pJ<3dV&Z$4>oF0iC)bkg#d)`8;qhAdK7G`|8E3bj>ZmHP?<#o`cdtPj7 zN;oLesQKgY`1r|r{o%vD(gockeVmAgMU&o02OE#$j<+RS7RC{I=Wu+@@Oi7ck{aCT z!-g8^5~zRI$QKWBi@~cC@P%u!j|T6@DRc5J+E&P+J_+HFOHrXk-SEf4I%#<#y2EI% zb5`JZQ`H8(Otsfu4y62BzHX>aCOF}qv4%QK%n1h{ zPC(b<=Tjo=$!|rNj9gVsVKQ&orXoIIov5%G9Vr`Ap0TEoFO9gy0I_DbIKZ2c#-{32 zI+A~ExDzRZS^-_b*H?XE+Nr(>XjqEsW^ydi)rR9$LUaDw@FH0aie6E*^NaJ7+4->~ z%cd?_T{UHTNF!?lm&jq`d*OtAspS2ZERrtrofX|E#;_8>1}~ zZBX1CON*A}rh*f4m;7y(m6dGIlcMX#c`bkQH75rIj{L5$e7>-GqNsUo&I|^K*Khtj z-90&A2(7p{T7eQ=N~jXXQLGieRfV@}s5rzi8&Ek1&z8yaCH0TaFHm8n3b1EX=xM^` z-xb#<)?P++*Ri|L3z=#ua2}O)Rghx^&7vzQ?Gq$tO)+S4y6o*VId-(rU8|3ycQ;+u zvb$hyeYjaRS;p%_!d4;n#UOT+lV!$=LB0C>=3F*=*Ozwe5Rfc6$igJ?)y7L47L6bJ;gRJmyt3t6qjE=G1`BD z&8d0Nd+0U0-yVLt`^UrG?{@--b2nlWBOQSgyYc2&-u?QUyUAeur;Y3QX|j3Lv&u~n zCGadZzuf)n&gF~Qrz7BAuH!{Mu8PE_g%?+Y??+aM!105~LtfzVZtMg>Ol*i?ohI^+ zpR)ONAG+@LZC#diMI89C>VXBS)f|64$s_aVpN-~uU3Ie~zkBB=6LGnlJqRofJ)-c< zZtsWgwi?OW*v`?ICx*yZwwC z$h?q;Lh)o?RMy>2XenYZuX=MCz2c}kXSa`hZ~Jgj9WOmfUVGpphE8QP(${~MzP_!Q zSUQ}x&Few)1TQZHTt1}B%dSz!9%%QFwi7+CsiEe1i<&b zh@I4Dqxj$ncU>FSMFlD!rSL*823Fu&IL4bElQ=G@9?ww~vO1%Av#tEp5w36Mk<92? zy($XkzRW(R+Ah@Vy6^V*tO!)&h3)E^pte^Jlz6a?)1{`PxC14CiN z0DE3v(@Rqa{EVUhoh&-Fa!U{FEwtR=Bi`-2k#tZZ5aE+nhP|J7P85W5op?_C_O3=9 z+Il!&dUN6X@y07s^5CF9BcyUL_A(`*Q~eE|C86WTR(slEm0`XU2+MykVA2Zh!f_L; zh5uIF$~Gc&qEw80zhM`9-wmDE55BQwly&xs`%gG7i=y87;r0=gpfmg~z+G4^x7vNl z&zC*8IGFhv*QjF6W6Ye)4a=bMQ(LnMN;W$7(9>Pm_bwR@;bX#ZFLgqZGQZjDe{j9< zR*=xGDS!2G*=VdZKt6xA7|dO|H8q6L9aud)4Mnjg2iHlxrQ!Z)Jk%v;0iYD`t$diM zygO=15$lPUPrHb41TIqD#mY=p8E@Lks%ywC7`r+R)e#vgi@blbS7IS7;@NGU3$U8l z7Yil-8m8;Z`{Egg;)RZg0y9@0A!2qPn;Mj9g}G4(mSC;rgByRk`r5F0cQFjOAY-o2 z2}5v<*6qq>&GuceMKRf3(B4K?e#puW;e1uuVeSBWK!v|!uB8bx>IUFO&%?6gC%vl_ zAV=7akRy)kdsACq9u>!nnRgy3j`s8-jGQPGb5Cz5OcPLxss`aJ+$>29Ju4NWbca8uR$Tb0A1~Wnb=m*$p%icM5erm zh!?+O$}!}sM`lL=9;<>>2mAzJoQhgqU8=fL)XDj^Jodvx*!l)crB>|{?+oz!4R1oc z!SiI<6nuH@vGshj?Xez;jG6~NQPQK8Sza>@&xo+&(a%W88n9*bmS^mmfmQO}Lb{em zK@@FgCs2b$3xQ65Wpm^M@VbIwbZQakCf0ku^u;6qa^|vWlr(7V zjMz=))k~1V@ss!)BZ_+H&o#4uu@@wuz8|ci3P5b#3b2;dZ7^V?kOd`RwoCEoh*swP zS0d{OJfrs#OvLakmf`vI8Wid$5D3E;fqMc#+Zo$-98o)EFPFMoO<-TU6vQp1MTJWisO%5uZ1Ocai#7=M_py?tS_cYV z7~a-f%gxU@$Am!^R~F6#cnl#c>*rsU6+T?9HGYKQC8%^xo>i09NDUcupig|q7xCQp zU(wa6Gdiz-Zf-y1`0{C@WpiXhac6JTTqu!5vJecgi_}pQ(1b|=%$-Y>E&?x(xM-X;*?gUyuTX&LsYi3zVT@my*@@&Idrks!pUEHM z)_)k;8-wpJx6<__aDv4D6Gn4C7ydQ5vH+NITN^}wm^hvrePeydPxAP&%m2G}(*P35 zD0pqMeB_cvUFO|&%3P@GY=m%?6aV;(qke-Xx1win>r9zb2|8>Uml%E@$XLnFC1YEy zFj4H_^=1*Hff=y+6aJ`$D?lyCYz+9$)|E!GRQ8NJG;YVl&wd`_3kX3L6ogwCW8uXW&nN2FrY)lwb1Fl$j48a7b3HTdqgHf)-^! zS3fV}>du)Vnu#rtgx*i_=mHZM6L0H=vkOi+gK$;_2qIQO7_S+r!`R%Y;oZ=Xn@|n) z0sO&*qoYy?xotr}9|T1g93hl6`ow69`)!6e}thJMox$tXHYPLfpvK*M;kTWr=!X zfbOhv8iY<7FA__g_Fy5Xvh^Hf8-f36z)I4s`f>zjbG3#XSTYuys0W6|1)#iNR-f1d z4{E-!quo!)S1o#YSIChJEF86(5X?h=x{kbI5G338dJZ{s`pUDSDRjOc2oR%==<*3w zyrZ$E&FePrbNyXT_i>80rodX0A9z!0ao&mMmDH;s$3HxAo5D9X(KcEnufv;TDjT^m zqd|PHs%~htI`Ke{^K?OI>?t}QT}QEYKRv5%>Y_fQ&iW}?Cp^cyW!l~Z+Z()p8V|x= z2Y5#y-qF2^IQq!)fc7WeP!h2`tv0CzbZTVjXZ;pGyXt~KLC^x;9t>etxxCQUUj&C2 zKV@|zW<5`fW4SQV@?yYstzerG zv#R}szclxR-$gX>T5#B-Z`YuQ}+=0KSAifS*ejzcTz%Ra%y)LK^D zoOlE1<>tw6ue_Oy;RzMN501J1lY`l#rZ#=>L+_0LXrKkMkidqFw;VObb=g8l=JzNL zpZo{Dv&MhDtgPA0*3jpFNk?|tvzoG7F1W^J>L(*Nkb-Py!X4vZ_jlKz7^(+E~tiaTMuD_*EFo8v?a|qTEs3J@nMC%no80TXc(=God5!g>E zuoo4+Z|v1I3<;p!D*FYJ;9xv~f9Pr2j8wJ-ebQJq1gMiPzGK;cG;jPGGXZDkfj)Fh za>iMv$e-J&B)u%qOetW?tyNcHr2i|r-`-S87hh5hB8ku9W=~wle`hY;urRw2@nusq0Dhx5b7--1;I?LV&q z78kqKo9cC5CD{(1_3GD$e>?=VC-Y<>Y$;$-7Rymtxa#1;qVaj+LYS~P$s)~$AZJOE zBO5Xn#)`;4zRK$3HVuOHQ!~J}d8fE|EDyw&zqb|dt$!8Xy^nV@!33*qv|~jYAwe%E z8rWV2(Kcl*$G&?HbWcOlnB^(Zfg{ea0(P?IjbfJV3f-ya!yuYhoLcnwEH`nm{<4Wc zP@*pPwO+ZA&wO3hHT7=>y)V`Izby+$qqDq5BhPKRvq-tPU7{n zg~g!f7k@d1*SOxEpO7NajvbM5bjB`8ky~GFb1BnwwGBgHWr}+~cCA$HEP#!|BV0G* zd9Ox8lbRWxl6yVdY~w7EyjSWBT~#v;{uIP9Yy{sish%{|I#7#>+MCKVg>PUb`h)6&g6w$33~4zlVgj3=+6I`IypAY3r4n(P zYc9*bQt9N=qigh`M-`0eW^Q)n0OlJ$NZu*ab!QUT}GbL{zXtnvTbiL0-Gf}^M_v(vVt!EMuMqmb`I zt2xn;CWl$d0zgMgwukn70gC}1{~HJk^SSmY?Tw=Klho*N2Sg{J-ip=G(N)n6klNO@8_js3G$g(un(}0+2pLYpZ5gEIa8W_jm0yP^%KP{zYqr8a+Gb~F} zgLr=u8m^2;2UqK$?Fa~oUQ!HS&6aQ+d_Y(m2$ZgI9<(WBL6UrD-Y*#&?pTl+p1lHL zZ{=4HZ{vc6L2S8qwWUu%8sPqwntu`}PLe|&DT08~+${Fy!^8k+);(bIPz$PW3I5Ce z|0=shJVKL7=tXRV2sYhCHUPW{O!7K`xAy8zQi*t<8}xb2!s)e*Hvdyu zAE`}0!SZQ}h9U=vle`y|;YK?HiKV>aG-Oe7uXZH5-x9~PEgq3&aS_Pi*MDZ2>lC1W z2Yyc=FC_JGq7WZGNDg2_$A6dZb>!$i@bgi5n+{*3XOul{Ho}LB#)zhr0+Mo#a~+_` zfjF)~U5AFY(L{Jy1$LU`cW?^A>UejZQ$&TJosb^EqBD(wcF-8)WC{=+>}*bY)Ci^| z0E{!ky&R(25}VMNphYW|#?m1DZ{5-r4ZSL<38i)TLCsl1whHem&uqaFZc*HYdoTdK8>Sy`0V)kHpSP)AHa&f8x9$wN~ z4#PCFB(`){AVG#?3nCwH`S}q1F_@g*>t14K+4-1~BrJ}TC4W;6Wn)VLLBy=uj;|*f z&D{=qqa=njvmn(?zeCXbbTht*QkG7;DGxHs{@0}iX?V5{DR7jgv(WVZxEGvs92uI* z%l&chELXW@cn+Gi7f25W4Qc&t4}#k!+mUzg)l9XERn`3zia1}dNm zV&GID7=LwWWK`BEgj;n3RZXRUue@A%Nh(lcvD*#MTqlSA>HE33d+IuXt7pml`=gwB z$#Le>9ZbGM&yC8OBtwWmY6U3R23j9)-IUyCez+P&J zn1l1}(#Qh1W?G<)sJF8%$9#0Eb+ptRDL9Ek?SG&NLAU@Vsr73m$u znt#C_jj?)w$s^g@R4?N8JI@a=HI53l3q0{484GHYR%loGC5>fy0Kd%+~tI-jJZ^GW7Cv!v`OVF6F4p z`rZPJPfiPYdStGL-avsjq)fmOtNd2&MzYf z`hfqvHwK_d-x#=Z^mkX$;Az4mlhA#$b~C?%q1IT`k{<$ti~_|QqoDq0ev1($yw>)W^PwluA!PCcr$4eIXg#Z=a`@4md~y@;6r ze1#;%JOYY~EREJboyv>4I2%cdnD5pZAWD`Lv-?x#Hr?X7VeeA?A4*fs-j|UwF%*|} zS1}g>Gn1hpD3`WZF_(V-~>6f4#r?{U!$FqFuZz5RWu0qGH#aZ+`wY z+8ts1$6XYPs@y&4Rp(urm+dsjb_NHWtJbQF&S`2`EZ*6 zU*>K?Y+k(udMrpJA`7DuE=Wk2(|&8}3$5OuoM)j(QnPrtOzqH{Q&cl*X=)FWQK%2Y zt;m9p_`}H5BR7B4{7WSArj`A~ml+gZM&r2Kizo_#i~BeR0%d&M1FTFFz8N;!vqZT} zQ=r*IW14KK2-I-qRSn3i;$>1@WYgANhZOlt^ZEwxB`Prz3~Q2fCz@+4oR(Ld>st{K zAb~od2WKT8=8jPu7zxX_Jg87MCg)1uJ{eTv7Ucqc)J%O4*sp${!vpW+R_EV zT{9LGpoA4JUlHJMaPFg=Jp7HLG|Z#Y?7H*7&B8dY{9qqPAdwd;U|Xy<9ewx~VO->X zz!%xq-SpW9I!?k=R9lJET*^}*Op98P#~=eeH8hXldmMUh0sBefvRosuA#IJa83h** zgER~F*8+b*^M_is`cP=I0!ARvM~T=g>MmT0*7#>tn3u7^f7D?0npUlM><{{gp*}V!>VTF$i}z332T*$;MSy{mzB3HL_4R7q zU39|3mZZ$U+*`JUju|Z0u4qj!7G=0ryFJ7PAvp9c)m)vrK30H@C>LwfyBB=UKs>cwXUJ=PkLD&Tr`%5?+~M3# z)50>t{BgL{;3Lo&SWPurI<2+S^;YIcgNA>^36cw1$!LJ2jVKVzy?$VmNVg>-(1xbKZKJ`)XxYbh=l~T5@;Wq(bf~~4A%mC>5Zg%iL9))fP_BQ1 z5Ce;(iqVukZ*RVadE)=hyf23iBC*GS5k~$!&ZG32IMB~8n|0Uz7|PYhqpP)xYz(A_ z=eoOMBE;HRQaPdChF4!@lG}%kycxQfYk1sc7KKG@Bgi6ofgxsJwk3d3jN_eak1QP) zdGkr5PX_NC2(JDR4T}`Aw_?JRnwQMso#(`=;2V-Qx3*3BE9T5w$b7p*}^bWGB)ii)j42DPL* zfSZTnJxW1jE_BBFAHazzT;%##ntCR2De|z$#73Q;Pe>s#ui_;Ra1vsTT#tWeYfixF zZceIKQ1qZN(%K8_H0@!e|~?UxlF4Y=BIU617nUn zxXSU;fFuyP_4t|Qm*@%;9;p z(F+>ub$Fa%AscM z)G9`HWjo-gQPw1v&m{1t_VKg_`L3JRSY+oO+AvKglUN!b{xC|mV!eMG^oI7Jl^=dH zQ|dO_^+bQ5J~N_GPVm|G3;)nhEtV2AX`Y_5JIV=QOrZO*l|=1T);gD_i!1DflR=%e3bUtk1~eMO2)my3E2PTeNO3 zKeb$9@+8tCEQNnqR|JM z<^OxBR#}|;F7Wpja(wm!FMJsIi5YO24Gwh^2qYhxsBtYeGd&0hZadfudkvgsn@b3uZ#())0zfLlo$uR^j(FbVmlN1{z{h z2-_DC*5hNXADgJRP!$%g=^^;_OrzqXpujYM0w&Nzb)piXdt1K%Su={_-}b8#=q5h0 z;!d{FI?>_(ij`bQa+5%7CF zwp?)0>&fxAT-SvK7ir>O-g&AO&(wGY2F&N@!AW-Zh(&v$%h3p;$V*3hW;V+w{?fr|*At z0W+>*u1>_ZV8gGrRT#&aFJec9qOb>e(scY!13hT8O~+tif)C!IC?I1D(=w-k&mGi( z<%TXr0U>5#tJFwzCU=0^rbkk%ppj&PvQ+qB3}Wt&-ws@&W?kO zGhXt64OtF=np@IfP66_ip(46ZVR%85h`y8$0Xh2f9mqK+J%YCQtKi#{Pn!VH-1Wp79<3k7f0Tp*t$|IZ=O`u2paa z0WZ)WJM)K@lPRIp^E66|+cuTa)xT!Ms%CL8)yN*5!O;|2 z3{1}xEG(wH$m1JY9lKHpfL{gKb$jR}^>oUOk@CQ^0}4u<>za`sV9$?j^0d8N3Mv%3 z54`rkKtZ{Xi}g}KuqVa%zmb3T!Hk`3zObegPFdP;haa!B$Hllf$>~o~I9+RDixX2{ zb89@8dISNU?BjyXcE}Q61{;uW{x{&9x_xKDV#yyo6~=j(it-!3^ZwEQK7f))#NV3b z4|*=h2vWw}_m7WBb{&(*RSC+xm)CehBQn{mNS}n(muWlN;HJt$zo}J`?)Fs`Mp5ZL zZn@IWkA&YZO0B-|?+bJ}sV$w}kFzf_Nq|5}?EiC*`^V2L1vCEQ|9xKnkrhCs3Ap&B zZj-`|^0a!%pD#h?%cKel;iC9o_0CRAmyt3t6qg=lF%|(amoarRDwkhnF%JS!(wA^$ zF(7|{Vbeo$hBMzc!;!Fe=V9;9)o+{Ct2dl@2}%SN-e&Jb)QfnGc*49*?tS#%%OLc- zBJ0ck7_4a&`+va?_OJ~G8*Eh0N66zo7fQA0qOqX?aXT-NS#D#y| z)JoWvw;&=$kXB|xa|X~Fp_4zu)1MeTja8lxsg{gU>IzH!BTDbYL>O9RLd)w zqhQTo_`5U;`?715d)_(%?}_l%grFo0jbmNC6ef(ol=GebA~1XwLc|h8Fmvmyi`qN` z0JH}ozQhj3PS&?_-)SmX zUtnG2nIOu+fP}u$J}UHTjr@{HnYZRo(b)ljrgpR{f}IiV8t^IRRmS*=(lMFnc-Wz|~pW_MCDrcIa zv=|>`nLAV`wbP!vmp6_-cAYtnEu<%{uU2(m+WEff3VT(Qsf%NT{fD!SK|2zQm(MoH zk*$is_5#8}Q6UsD8b2%Tj-b^BtKPc^6*jul)V#VK4XSFiliz}?Gk!wkR0wBP_u9DN|R}(|zYkS5aGR(w+$>xlgZb$_G&>A1X2P&ZH?4a(nKpkgqo zlaO4s#t-t1gowtmUxu=Q|y0$mu(BQceCd~OQf`L}^Ah^l7KcH9$_Hq}ynfnzdd z+%>2EYjO~Hwg{u)Nt`{iBF$g(gpfYs(QkPc-&k>qpxH4tBlUJE5h3+oAJUV4!(@Bn zDE0+-EO_F-9^S5)5X02lYFG$CbC2xfQ~wK*Uf*pBWp0;rv@sF4m})Wj3V$Car{>Yj zVa9BKe){3+{nOR2S0Tt1Y(kPF_R}EUv9~ zA6J39C$V?-bddf5=1IfA4@64)%F43dip2Z8jS{Z|fs(+>DtfA_*R3kC=L=CPwG{#I zO4^j+EvV7e^n6D4tlh?eWq&!>-8O_Bx)TjJQ{w&Jly$3khP=!=mY{yN>w#{84|R*m zX3nf>N}XrDuB(n0`Fv8Xx){_grR+}%bqosHg`s~)64HXMo>AbsHD`J@Gt+=ifk;?E zw2tmix*8`tv*=c3vuPG<7rN`}5*7FxPRP;%GbrZ;x0hA0sgl){CV!r9;9$@5-G|f# z=nn8B53r5YKL`ftrzi|&W0ubaAZUt!2LB5{3`!e7EV1VuLF3;8ogTiWUe*y6fUL*! zMD|u?z2bIRcjly^y*vxhPN%D!IUjHaHCYvqF7ODnFGi^YNzm8KSnv|r0OtTm&F!fH zZDguY-7KT7dPOHg7=O)`zlMdUlV%j2RaS9x62U#~R*`OKR-N~J;(4w{Bv+$Nu_W+v zDG3AWOQRUE3OfiV)rfFz4WPmoYL<$Slk?04jng0iPt*-L%6MWi?AGc+ox9cjJ2-In znw8eV&-!;JO`0Dq(}KNjthAS#U8n|al0?nUw)KUMAC;@l{(p8Sgzz4fni=s`;77q8 zJz*NdZ@ibA7GQosd@!~XIJg&4=*v`CfB0#L41#er$|D=yevBIkT#r zoqnd)PUVwVvHtCwcalgyoJ@FK_&0@(BOk2FPC!t}8alC=prcV_)sU4-Z)eW=H6Lo9 zC)bhojHXVp)qf1l+r}}sbAs+JjD#;jF?WMYw{nOt-O3?xY#JDhIPfHJLxXIO1o=V( z3JuXfK%A+1;`v2aMMq7eD0n&!kBk(?omHWSGc&^Wc&UsYLxt1E#)1rUcLLKDXoKxs zHj06&Ax6hTu#^~?Ue-){3|eUfhhZ`h!BT;3#X;a2J%8}z^-vbXbsRJ`BO@<7J_S+m zn(kpQ!sBq|-_Jal8IGy^Jd zW*yOTFy&#lri043DGWi;a!sH--Q8m&WCsS6pT4`N2JV;bqN-Hc;r5J2nrSVbv<6Lf zme8{LgMX&uiE$w!x*3ikSm%ynf+ku!Aq3dMc*PwDo$OfZ?xYI4IBdMqt6S$xi5b&S?xbc}Azs;m-PqnHQMZ-V|RP>-;Mlq6p>?wh5yc6GnQ2jh8#t zkrApOx|>=cB?6cmL_lBD)<@9Km~aX(yD{-v=6_&-O3j)E#;&FyBrbEgh~1%pl>o#X z;|1?M0{_seoTWZxZBgoqr{dGev(@u4r-OzVhThZm0E-gVC#MCk^1`hF$#@2Mj`JIY z17T@Zsgr=@@tL0F4e$W=!tspQGbMoV)-@{E&peH0BKeloS}w{{p-+1mS{nv{ zh<}t)@e)UpW^>_6}P)~e|pNb;;- zXw1AAIzV6@1D`SIkW&m;^V?I5)DI71V168$22lcKB1PzemiZq7_CE2GAYKpHFrzt7 z&=Uu2po{?VMk4 z&0yOyw^gnxx_K())T(K)s2&491pEMUr9kA$kbt}-8X(8vCX9U%%LoAn+Yo#I5FTh7 zsUNMEn16VI&Bt8=DaU(83|@RRC0~m?=BmnCUB77pAW;MoCDE*4lZlgKOK?hM$({?a zs+c3A$1^#9bC+S57ZB#@j4%}JYJXEt$pa$|hD_Nku%f?UctaP(CCCPNj6sJqRXzeh zwZkZ!}zx2H(8B_k>Vva0Wh(SMi^kRfR> zQImXubfWe&luuv0R_#Df$7gDaa2iD`2#l8il0R)@szIRVtk4k>w&u38wZ!l?w$%cT$`N?6L{F{uu6By5~uN!B1&LC+9YLEsX zTO7q#wu>@>H%>vYTQ{oYgn!n!X&|Uy(GUmSAmslHqRIe)uzGWM!|wBTasP0)ntML- z-BTiMwhOj&hAs5eruAQE#tn?;d0sqPh+h~YP|>i*zB4ty|8ROrMu+&P1tC8 zD$P&lRA~vCv)}O)Sx4R=u~`;0jdI^|v45H6%1tg?AKq_e z1-fGDwj*hab!P*ajXu_l`HG5nIQ5U^g_F3iW(wa8z$iG%3X{t)VS*)+9av(gi$)>$ zII87wx}yc27e?HF`dIT_aSQo`&La0xr-V-54Nhh=Y~Qw3I;Dyc#XtPn9S?# zIkQR5#uJ{6!u^qKSnYCeGqh|99f>0C`Lt`Wyhr5Mun3&)ZhRrQ{1fNVAIvXNj4@my zba5Ls2|OlM(@i7Fyy_utP@TAP2xVb5dSj@}a15GCcraN6^nX8OU2{}!P5gyA?llcD z#trX|hckjhm$3@ydLAm9v%s4v#lz4pKxf-?qJlw-k__{0U?-sSh8WpoVI)J`tjd$I z7HH*YT$z*s{UmK2cl@oCoPwlRIuZ>EQ=>#Kdd)SQ@Yxv3a(92R*IpHa?xqn2Se=aK5 zBGAV%)7eBj!e&KZ$GSgF5Tw@4@=My-b9X)ewwBEbF34-?b*U}nESyVRdv)t-ea$i7 zYim2pOJD11S54+ej;XPoDMph46tx9`wzX8cX+lp+!G9y3TgElTv1JK|Y~2bwk*H8g z_%_fL;$G7?ki@Ds@hFzZql#lvemrrI%45DJHA}8tM_Z8+{0^m7lkv$NNsDZmT1%A- zHBS4DVxX5I2jxMMsgh-p(w)+#0rJA)?9@KFx$MKpDUu})_`K2i4b%GK%x^cP5SO($%wGS7P0tJH;M$yN|WF0IlqA}x_vfHlYbJxbF6dtA{A+z_F*&2+q$!D+>9AZ zn=v%5LlT)za=wz%Ku^{8XT@D(SjsSZT=1|x(`dC(!qZZD84W1M?OUj zMK?()?d6)<8Tzxbri*!LBPDg<EnLq(rQ_x+WjAN- z`njPOTnsSeDH4Z(-0GXd0YRx5F_V7)#~UgRy&jwD!yOK(%3efko5CGg*S zVW9Gr_e4^)mt-p#EARH~ud`4b8^<65zrrBS6t2o5uY(WIm^X(|L{X5ZX^gSJ?V~UV z)sn-o4B{XrEC2g8-lvN+4E?Lll=sHoa}fS~Ttum_JDz_HI|i3wiIQJn} zFndJ4?uv?~!4egM988qIY3h1!&0w@_w_PMy2~-+2vy5!hR>j7N4Nc?ZVTYpQNx_0|2h+$kwtEZsIv8=u3?=@VjS zVVxU6nVT5l;=ugRp-S%e-I(^VPGNF`+v>x$Lg}6Dc2o52n)XY5-c?fn(TF!^Gj#|+w%u323c`OTJjyjd2$)FnZbcFBLnU4VLX&R=X%lXJ+D)@SIG;xGXJ$y61W z5Xe)Kfw|LF%hcMP7Wmrl3emyxqjAx*xM#DtqjgTUsG#$e-%sUpSAbPYQMuE&L#;$K zwFb*o7IUqTkO))%Bns`v5pMItaS zw)t7f9+`PuaLB>Xs3bgi`4O^nA}lVH6!u8YV&qPIbTAFv9Xk-PwZ+h20Ys~3DT+>8 zjC@MjRR2pC34JU?o0K0=P~Z#EjdE1n;?w@g- z&=M88ssVyGvOJNNt0H$&koh@G!(o5dHT(M=GiVo50te$_Xj-2A(cBbFy$}mf6rJ-u z%mo^X=|@W8o?9DB`H!UYi=|s0`DP;$dn1llqReT19q@QdN+UXj_Egz$`la0sU19r{ zyPH28H?*CWriYQ8Uuv)r$~N1~uf{`9lS_9~Sf|D17bYXh;pr5&$??OcYxRGN4O8s7 znwj-_Zs()f$P!WC7r`+c{$&_EhuRQVrGix!ACR{Ce~|V&z_yUVCn|OT)$9!ruOT;t z9SC|e4%U`$!JpymY=X0u!=~y*oUPIqaJE|dHNzg2{0vKi1cNEiP?U_Mlwe*MA8@tS zEzkBcJ{j^GmW(zYS;7qu7chU80%{GG0#BYAupR|-|L@B!m1h&?ZDC$j~s*8bfvLU<|x(auXx(_h9O@rSQkc4V>_@M zU|Amm#hHlY*`3<*2%k?Q%4V_r8sE^de*GuiM5m>1BScWAIB9e;vU+- zz{@@LzYWahRtfB**CBu8lTK{RC>|A4HwHO);Esixoxg?`l4(dO=Sq$EGC_m^d-d%3Rqws7s-A#%8+1p?u%L|5C%3goJ#&XTy! zl4N67N~i^brH%BOqTLzpAMj~24Qs)I^hQ}aP2hhEpN5926Q+NBGSl%@lM$RpIV%gw z5iY?;#DKPR#<|6#nGQ1DLuAAzGk;m7{#v9;wy@;2&+**p^X+=NZCwAftVfzG#JMuP zE~(Kj{X5s3kN?sD2H|xipo|YS;jS4mY;p}y?J0Whx0N3BSeNjv*VXo7dcg7ik&ZaI z!~`HQkD`Yc32uL`om-9F2-QM~;mMYLUWV5035f04aNn8!J#d6*9L&LJyAqP8d|Mpj ztL^N;I0@h}Hktpim?i)he))gJ=YN!fiX;xAXo6VaEd%fBx8JY>7B&8-*;evb-t7jU zV&`syB+Y_6jzK%GUCu527nDqjZwh5@WOHxePAZk$fSD;zMzZ7TS@l)(BnV=YYKS4o>;k2+FvG11!la!QV_5ywcK&OY?83 zo3$v(g^;Bc>sVC_Z3KOIZ@zL2P2;}gvYb^$c{-c=q3b`kf7h1akfUEbrwV=mmC9Uf zmvOW)6t^deG0_KqK)HDQQt7D1j6P343@)dGuLBIYOaluX4w{$~W1b8?eKw5{jl03015?^$wr#V0V}gQ-iA&oYJ3yWf5^N&Rng|2`Fr94Ut z8Uira9Nx1m5l<^dN0vK$jItt^60#^I6o%mnwVF;t@A=4o!?pqFkry~SEtr6j6SuZP z)8S+ALV&R4?oweIp5>znxT34Vb!>!7gOAXmObL*^TSeEB%Ks3?CbuxQk?+`s7kCIm zWGeqhAqD62%~|P97(B)p1+FKv@A^(S896XX{lOMSM{@ga0Iwr0A|UvU<`7uIqbxm2 z&--f~p8L9g;N88yes^`*3-SEsW_Er4QT!7ofEa=%2R=MoOrS~12|5~n)MndD!>3^q zrBOoSoFuE59-=^>)qs+N0?X2oHEU34a7!;i>NGl)1av|!I;~s;BP|;R7zvXB#t+KLbPJpqZ|gpJ|FDF+YN(6xFYih z%5$kV3>nSyvTn7(L>!5SUnP_oJB{gPYvmBl(V;M>FB;v~CQ=$C=lj-iPqo30>q!OzF&zFR^QA*EG z!OdNN=f;fCdO*Yu$LeWV z8({UcYa+ojI;YX2%IbN>K)~aTUiLb@rQ?zCM3ptCd{(9EEaznoFE%A#crA7R=Jt=j zE@$UgSEs0iT6iuWDceA;fF%fOVMNdx;aR|c6v{>~tFS$hFSXTTGyx7N->Cyj!p#rQ zPoc5rAlLPKlm3eG9*7n&4A?i zivq{fO;IYYU~rjVt?X?@)-+X@#IkQ{k}9&kK3CZ^u`#w?0Oe1 z*;4?kyEpg8f#1-O^<-OGsKY%(dh>sRTSH|~y>3+*2q*cZ{WdqzP3q=7t*0`tS(QVPldXJ=lrz^43EPHfu1vhD|eiXubV zSL`@}-4t0U5*s|J5xr(Ee%R?uCI%e9bg)-Aj0 z!N3YIvi;5ytMUje-oL5x=6dn|eqcAhgQ)c_^y(b* zf9&e7zmXr)QN|9gPBS%j{pR3*zvC-isicbC4a*jcB;v;%CyW=enY|p67j#0;IbL)8 zvI6V7CpNS`f@<8fs1Mbk&CA6k2j;?qXQ%2#^$ruT<*L_+Lf9TK79C&P^d|^x24!aCRROeHcLr=LT9rB%9!YA zZ%}=_OVRvCTBQnSh1*=n7yA`$_ADPwRqJ=!a=T=zW{Fd99@;jv? z+Z{gf@7xFX-V4LpaUEoNdtYkzUeG%h!q^f(eUZAh?aRy8N_w#*tLkzckTG%F?xWGz zbAsUo)NUcUd@8T+tC~K9q{+M2+5ZBlR%TBMWo~41baG{3Z3<m!$cSO%a+ZeTwvfd@i*P9sH!hfhrC zV1WSSIUd+BE$C!gD?A+ALIfn87vS4Jw4YFo7{g2BF-+`?&v$vZJ0K9=NCMo92|M4>m{GBS00{v{r0Pl|!SaL(e$N!)k~Ps; z-fD}l+Ebph5Uk+oa8|II|(on}jQtjeIw z@w8I4T>zOtX1}SqGY#r7jB*g29|-NPT3%~tEGm8;q#Avnu3Kadq@*1r(!p}Wcsuqj zNUz+Ef30PCI?ri*TQE^(I@MCb>|@Vk9opL?vB!{QVh4#kGFWbv>u8BTvZqN(^XcCS z1l_#sv9ITAv&b|i4&;J8>&SARtT2^o(tKZTWqGw`RI<+c*drJ1_*3Q7t*Ov!^4J9T z#`>M>1=r~^8(GfqQI-ib&LXCWAx%_+Mn$Sxe-r&^HnO3jC=;ED(>lK}i7Q300-16` zLaW5;5tZf3_ZC8A#p%h(Xl!}IITwp^g2FUb_c6`yzTX2v0?Vo*T~;~0UPu*b5~Y*6 zzv{|zb^mTDPoXHEc*0{Ep=@N~;Zr{}S-n65CSgk8CQJqZcZrgwPppg+3N&IP%XUp2 zf5$B!EMIBaM`oAr*8F9hz<79;B%t!J@7$evmP-f>?U?lpGi3}OZm%64@|`ALwAuE! z*(NfvU3XkH5~=@^N7F*J%Pf@^WBEg|(2skS8Bo@9Q8Uu&kVR=;Rj@pnE1ru~K6p@_ zWS%9jv<9#4RL?0>mcV5KrXYF4`r1HCe;5?Tz!3`LI_ZRX-~?UQr?rhQhld-X{UKsP zHJ+41a zM0f9rT%grbUDt<}>mh7AdlkFBgB<*`GSw%@vwvLc?!!Zi0xZ1eS*(=0D?V7oe_Thd z0uV`;QMjfwrLWy`3s{OQ$3eCqC>wdb^Kadf@GO{q&i-1J5Gs;ab;kLXYOqJ`UsZ|0 zVlWDkQ^9mUSm{OOTJomMbk)*$lSRO&_JHSh;Dt1bCN#V&-l+SB1rK$>^uVJ?wJKjy zDD*YUA|7fNmIUQQS|sV~E?f_$e?#e!v(9)A3zqaCH40LAB6eT7puC&OfF&EemLtyr zZ6O1}e#&$XY-alwCUKVLD=AzB9?E#+>4mIAxol_uRmHS@(b!YRelT(f>=06S2H_q8 zgml(zt)dr;x>KV~`5z|qvLCc-7#9(z*=T{q$J3qK{2<^4O=4Zt(PPFFf41VB+HGje zkZOKmYepp|1bQ>rX4pC+l!YEtG~umrGKeVKI}{}M4y0DzTK|V7v{^p2|LftyoI&8! zLSFI4|Cg{PwBTVk?j9&A)gyq<=&+K`ngFN`dCs~|I-$Q5JmP}0CibqIB-3&r+4Odq zq^uM>Oavrg2+D$W5<`L(e=p})FA|{YqbY{b=g?;t7q{nU zpWf|T#BWmFDY(0og)1T+Y^!tc*z-kC1JqiA(}*`d{Ghi+fh3Bq!9r#Nxk%C7LT$XC z7R`j;PN|@q%%(P}SzdB+n4Q9}FJ9|kFbY}GA@_h^)(AhBykCv}f7*cmfGB=MaIa-# z&v|l8m@m?pMd=X%{+&XNK1m~bM411~X?7T+xLJ2(n4}X}TIRH1#}YaJVi7OnBbvc; zRv$+n1>CcIepGlr=1-Y8D#ZV>Wi&9O~cJ;E~yGMl4? z&iUiIgEn;@DZjIj2VAGS>VJ%pMS`_uXI;0%Wl?YF@w{&Ki<#xu9P3VYdf&5Iu8#sA z1~s9^HdjAq(_8$hK5a|X0f_j?hiq#0}j=%De-Txz`7Fw5)GBFgl^Pe$Z2!Ehl46kY(^*iHFlMkb#$>`6K4Y(}B z7C1Z3ClX&rdI& zpvOd-4hU6=Mn=UvTa*c3?j{?74=m(YT7UdN=whu$ z^;waSvqHnWCntEi=2@Qc3^SAz1z8s}%<3C^GH%K;&t^3kAz)=P!|3_A0)=ZJ%f4@W zOF*X5MqH#N$qLT8K4`LWU-=|ala$Cuu2j~fDaDLI1s@14FME5;--pH&x4=9ZAGb)^hD`iUz|Uz;nM7`9M93PryKbU3;Y*YFsJK`vjLDF^hLd5 zAR3)$r?K-NNaNuDH2%la*#D~2xFEnOri+B(FP_W8HEkbNGf+2%Yl=03kltZ~in{cW zosyCpMP7la4MeYi^Iv1dqkng#xC18RlD)o_P*T!rlQJebR+WAllkA!?5ab0eFxA^% z@D7=4EpJ6d6*U7^-e49sYxI)Sq+qLzE0c#pdj%i6LrZ1D1#Jvg?fG19Sp-Vy`3boB zUYZV+mK3=4{y>D5Q)nK$*jvrbuD7{As`Z8em^VB|zd&QN1J-hqEj8{>-sCP16%hGjr{_}4~)5DXKHnF+S5zg1-h{5)lKKASo zHG2S^&VLETDOcIj+F$4_CaPko3?+qHFcNmXD~JP?goa4yZS`k&osb~nduXVPpHQ_6 z0DfBB-M5=(IltpPhJRJvYU=DVDN9_ir&I%F*;LKY5DfoFIPg&F{!yrYkgO-Hgi1D* zmOdfe-@KedJ@TbThQ+^+(^0&rw$i8;bq{3lZmB%)o?$#H=3ZJDCl$Xb3FR-+qO6|k zDNw~}k8Ie|d31y678obwI;e#=;?^61gTkOXO_CGuhVr4dT7Mgbv8$eG=s}Whzj=CD zItV=E`%!OkI>EdLq7xw7cOC)J(;zOJ0tP(*ZPo!!Nq!Hv?8aeENZB>8iMh^!r|a3s zay>A~K|$1W;3*F^D~eTJfpxmRdCNa@)Y*IF3Bm4r$O#^OUfX;2>^aD`9RW0|35nsl zkvvzdrSG7?*Cyx2fQ*WRj6RHx^Pip@H!~bLZmvOW) z6qkXmG1z~AxVXKmXjI=^eOSGny;{va&M<(}HLSTM4hy-us|CB+?FU^8Vf?M8Bh&Y^ zhw81JW;q^Q6YXa9$4r-u=}iVrPjiv)>bPXkGJWKDFoJdD7_MOOFWuIIl<}AqGz4I_ zKHp^NPTcJ?dS%%2PjOo0a)fNl5elE-3bmT9h2DShkb_MP&?CpUPIBM^ldfHJ(W2pD zkb;k}VV@$QYYu461yOWK*p`WK$?yd<6e~V5Pm}0!Qpq3GcrY;EK)wMKeFtGsOeKE8 zSX!`vzDv`6sfv*1pbEm{l&vuskPX6aju{8RBONA2mIXfmFatHTN0x5@fY$^7hFc+6 zm^Od%97iAs>87PfpkkB+oRX9;={83yx-G^Gj0~9cn4=b9X>4b4_(HM>BG-{!a%DRL zN^3+wv6?J`Qn0TrFh;&@3q(JON#0Q|Zg@n+O_9?~+}*SELH$YOYxEP%9(feAt$2=e zam^?RA6uMt#G$vRU%tPQ+*p-*WitCQBf+WeKc*-dY#T{Yl+MJ~1>m|>U z#mkyX6S(j}z$r0R(EC+&yiF}M(uJk88G5{hjN$K?+M*{1S zu2VpsNqU?xS2h>(9QE;i5_Z?&B*@=4Uvzh{;Jm?swCe}?-b0)ueb$;5nKJ7PV#8E8 z8^KS`!#cnjC{p2gClV@YS> z$9?JI!=>iawx6522B_m%JFLbmNQ$s7vkq_VWhMy;Cj;!ORbXCZ6$)pm6+p8-06C9f z*JuZu{xKxVf;6OGr5-H!X5oKLR)i>f{^r%OS_3sfjFJx+Ht)0NYWX~zUiEDk$XPOZ z)|Sb{0^Vno^T&vO&gk(9^&-j<$)llR?%8n3>X+-fUaVa14&!t>WmIEiNB?D!kMyXC zRy~WBi#zo`*H^BKSbC?{&s&lYNMO~6>-w7ui=>(w{ohqe4c7j{<a{dyB8hZaY+Eq0K?P`kg-^QLMQ=5@<49goXt;d-Ak zDjNZ$+os67j&&!6vjLB8=1US~Uqe4yX8- zM4lZzv!)j1G(3X>duorJs?lC|9oOs9QP%6erO}Yp6|7x1$IG)G+a6uaj}RWVF><_` z*V61y#V47-^h4@;alQx^*foyx-;r2GFN{VC@yl!aK8nL*aV0aOH%G4`6~dZv_c*Ww z5Q9`;xqIwnO-X-Yl@XTj(`>S|oImEA?gnMwZcBPccn~#n$VAYBlx^Z|ktvxpql(a$ zOdhYR2PNYo=bb_72DX$yiwPM4dY|?gOUhQkGb7ZFDA>S)=Ie2K# zF*12ntjyfy6&%;J=b!mM93bmGNCrM`TgY(EJ_UDttPdneSO&t_5I{X-fC?PTKb&_d z*VvZrRL&p+h-Y>WxBU&naw~S1akMcj0XUPPASjo`v@wu>5inPmcan!(Gxlk=Ep zWdsi}=MlPKgy8`S0{8(23=B1-+-NT)q=&$ipp?K@(+FNkl#_D07#JJ)5Wyl6%u>y{ zPXW%?@8UjN;vo_Ul_Wz(=d`$|`7Eodx~t}Nz+S_DLeUOT0^Vz)XtjHVu4qzivin6^i!_XY+PKMy|yyaS3 zsDtc(^V`rqc&O*O#)dq?`DR5Q8Eu5sZAh4G;<&r4{4LiUfJG~sKoR1j)Ki>_Ax5nb z@gjt`5n@oN5EuXp?>PXFTmXR672Sn7Q3bN1GQi~Ktwmjy^+QoDx8bSd+F0kAfYf;G zEU)BrUgz{U$DHVfjxXHgl!Y&LBd;sexeb2NlY7Hx++?A)qwKqs$N*J z+w`rpq+G9HovAu{VXF*yb-r0lX34j*wN!t#sG5%V>f2D%uBGhCS`81Yo`CJ?;za|0 z@wOj@LR2u5@dn0PLmEJK({)1i=^Ll8ySUgFEhO~tC>pDiV~vkKX3w(Qo>SAUdpnS!=|vx|iwn6si0-Pkdoe09Df5AK+^6S%MseazNP zNlhr59G25{UA3HL+$0>+-?Ua***Wy6aSudL7dN`H2KZ=+zt8dd9Z3ZO6M&|yt^iV4 zIPiwd!7#a#-isc>(KhUVIa(kK&@kpEhS>^?Qc~7lASit?ACqCe-F7C!5_WWdusp?g zmc#I>G5$Xda;^(4^P*T@B%xgyGYwkW`ST6Rme-8_IMs8y$hwlBqxpF)L3%L#AJT2^Q)D6HR4smh1O{fDA-&M z1&3`nSy17dhMqD`9*-9Zdp4AReD9WpmG>ZFwHIj-E|5Sa3z0%wkv=c6$8y3Z%W?L2 zs)T~$(>)sP2K5-ea;d)T64IV(=AA&JW&7Vr${RMX_=gzj1dVjEJTTw7iI1Wr8iGyF zY_pca_^Qt`ls4xedTZ)l?9#_MZTJZ)50vmD6nWdC@fY3P)fvnGtRU-uvd&_E)R+T4 z6?2LOkA@W$-?O*><4Vi-H>oW6(Z_=KGmt#A>bXJ}WtTvFV|{3)_CCaU6rK3t_)(n0 z>6t(f=VDV`Tx4ZitE)TxXfX-!7@B{5)RmbAgQ$}eKb7NmqSdm93i@K*iu0y@d3`-` z{dl}A_^P`@^LnlB*BSeN^7S4h^q{UP(v3Vc)0I?_?#lYkysuuROx?fzrcbLVul2x! znu(9c8#_7qR;sa262kzI=-`9Iorj_UK_1{%T0aS-AALX3$6b>8W|cMaKob;Y>Vz{v z6E_Od@tZo=$Dc+uaq215v&H@wrN)&K3T19&b98cLVQmU!Ze(wlfV43Nm(jX0*MB2m zE}M5Pk9N%R%gtZ2w>Pu@W*CICEo=os4i5!(U?utN^B3Dnf&Q0eBR2}IhqhO4d434* z%(|ZaGqcsgx;MhGE*m+%D@OU)s^P-{@oKLM>>=0l9ia;`y&WLW3#1xCh1IUS*8Ug`e<7Uem*}A&CmJRw%0aW}+XE4>4cK!rntqGBN^ zqIqmimS?Dnmq`%Un<}mw`h&#~P(KwD14q_|G2r@vsx%Npy{?Xruz!$2cKz3O1J$X| z52GOJl1rn(yk-T}fLHITl8qwHDU!`kbYxbDkg)RTlxed}`E#Qt ztgSLv%fIbbJ|EOv!635rg?Rn( zJe&1x4)JZsQG{wNYI!eG9Q%~30~9$><$2mQl<2n&<-5w@-1-)=ld@Pd$UuCnoHE(Q zMM1L@Kz9JRla+vj0EKSn&zEIEkNJsXqsYPg2tn{~O5Briv%*n??7$s$|4+*P`L0m` zZ_R4m>=30oU4K!%MSZ@9*XEdWU3{3rpJz2RghRIi6Bk0i=c;$KBzV6b_!lHsl}b~l zys#*hnj6@;C)`Lga|O}4nHqP^3o@OVf8(y$hRI({u zHE80{%+|*|8~e!aLel9mRC@J`11Sj*alMn`Xjg@|G1OWGE+R02vGjA-^IZ#(Ls$GE zmB>nEr?GnElTpPRvF784*Y7V6To)bGF^#$R*})FLJZPXrT9vGr%$@i)=G_59F9;U> z!-nBWM1QK?UbjY0Ezk*xvI`Qo{kZlsB+Wne@`$vlc%AIH8Z`YypH>E4NcSCMG~pUw z#OM8`6mpPse-`mt7c?at?8GkmbM_4twIrv4oTBi@^6LS#d*kPp(QRlv9d6hlt10JTgBjt_{ET?=u-TV6J zHEes)36=|B8z647{Sev_Obu!c_rX+btifEn?gFyVw~hU~%Szo+?hQJaZFo^ly!J5p znfKI(7w^Era}dT(ch@YgioD3YEVex2_YJoUAu)slq5cQCxngsdakMcMm%YI;)PDhS z`S@AT5MySamTxDomy<6O0(@bENIq=jVb56YCLcdxBZBs~21Zuk8@Hm@&ahn{?ul_Z z`E!DmOt>wZU zn>edV)e>&%76!wJ;JTTv!@cVxmw#9WaF5);J}Q9+LVC_#iVh8*fEEHosB?@2HeBi> z4^*L(aBK^aTHp~h8c_md9Veknf)R#4kZr>S2o#{EZp%X12u%Ipe*r}Sg;@g^y7i zf8)28Ahj`af*M#QKoZL?hA>hOOb0O2!2^onku<#gdLFL@N^`jsSQ?#Tke5$mkYm1M znA9c6aRP-cj?_$fUyfQ&C4Y~yijN|(PO5TSugH@?Qgc4DHaG+TlUgVsQnrY#H5Fo7 zk9TWIfg;;(>2V{1sNHzc=OPQERao+OS(f+Val}f<2SOfaX)Vi(HpDnJ|A6QZhHF~n ztae)%h>%1x)X&oK-Z3s8_?F3ss$_+Hx=yp(=Fd=dV>hh0=i4~l$ba{^l=p&#(S1h- zO>*3we)0Ztl#!Eca~kLhd(v(o9JC@{HC9s!xeIrB!oFn~JF{vb+2s6w%kB!6YhE~t z?lu(5@@q#PO&iaKTBfMd6x@6d(7ag|oZTtghpEbBwlP2KmdX3)uD{e8z2HS+UUa*C zsbYSR%nt);v*YW|et&;KhT;cSFi1Mk@fSOgIh41g#xo5#K%ELID%j*CJ)l!I3o7O% z>Qlo}mUX);CjVIfE4+cx%9aGm(6_4JZJa13OV*fqPb5y)S+Q#^iSdj+XsU-*!C;d% zL-L+!J#*M8=3H0W$w5!!>~k z+tU0<1>fp^(|GISr4WL@9O`OunpeHXACmxTm=~{)IWut)-fs>DivQEgbL9}jtSOeD zVGEHv<~(taY*K=;W=zHC>6$srB3SxA-f-(HB@b8E?3CS(NkH&^<&I7JJ_ug4gm3;( zsX3brJ)1tjJb!Jyp1#L%De_#~Fl`U{w8>Nk|Hg;)nut)|ha%e);ZD9>^045Q%8s6n zlP6R{Po}usHrvD;q?b?G`Y?xiP}7Drxf$e*Dz{VvOR2&6xe~8^5)ZtUtemw%ig=V& z_=Ci3u8oF2(-wo}`SQZ9e$Jib#d=M{v+9Dn4;SB-1b;g%i{ruX>M9L)?CNU3wpJzq zPa6fVu6ALZ9lW_G~zaS~@fr^%i1O9;O<@Vk4-H!uj8d zO|^q0;Dg|+iQz_5YHOEfAO@|9I6t-M@O0Vmg6+RXw#z^=T(Vu3mb`!v+SJMF5VwEX zgGM2~hkxwb_oagJWR9A?@^cw^O=5)8}t2+UiIUekgeV zG`4>3B5z;G*A`CSey*Ktv?{PCJM^IshEa4CDnA@hK_q9WAe!bXOV{z{ETA**%gMsk z_q%XPo)zrti5{_aSS5Un?5E>5fyihz?)^SXkAGG^RCNxwmY&L9ovtWbmgL{34fBw1 zyXDI;fvsJ=XSh)qsNtjXcnOa&;2wCv{rSA$wC~_ejJ?me(O0D<|ABko z9q>8hfNvY7DNBAJ-w))Q&b6+cGg8#Gk>%A{0Y$Fgb4E&?g92NNJ4|dj)B3~A(bG}A zr%0q=8plS|ee@Bp-s5UEMTAhvsP75`S(dMkB8k?EZJ3LrX24k?&z|{cX1aDTy~rYU zFzxkSeV9$`gQt*CmipnYe*kL_j)|9Xv@sNyV#qPpe?YlDohlvbneo?~&!dZ*(YFx+ zqQ-m;_|Ks2cPMO zdJ_H6$vzKFt0KNj;#xu!mONR+c`h=2k;hAMf4CtaI5oawY{wGwbWb9m#?BcbDB`{j zT=QHGP1q}dubBCZTQ^nTa^L_IQDRKut6Wk^Ax)(WMMR6^YF_WK#45n=27yw-GOlV- z?Sd9GjJHy8D=m_Vzs}-UgyH;S*hO>S$8^@4X^4CuVVO|-gk#eOpsQIrf2$(xfd!-w ze++#aW;sgCk7Vt>QQnF(k=5d*aGPgLyx&?#c2yiHNPBqXQy@WZjk_#zv3o+Eg$#Bw z|K}l4bK&hsN8!MivoM53?&Gbjig^_;Z)?%CrKzHH zN}cvLOb{GGvpV+FOl{^CPl+g-Jz~)Fe~S64v@tRGT|7^VxVrl-Z$wqK1NC+ZUzwkT zL*X|7Oj)J*hEf?gqxb)-WKOosO11$~Jf%%{UsMHjXDvK}LXW{(K+a=RK;JAem{7iIw+2F;e+%-t zMbnh^+w=4B84KKb+AP{BN{XevUdGk^w+FD0K(C=i6IH;Qo<@tNSuwTa^-xji>x;EN zwU&G^WuY2|$}z+4^N_UIfPw%RRGLp=Nx*!cXrG2c1ySh9h=-WxQ&VLynR}5Awrrky zig%ts5(vibMY1Rc8Q)uKS>3?Af1KJy78(x$?rthTBH=25E)p3Ft#+V|Qsl4qysbF7 z6U!pkL9OCO7)Zf7F55g!;wCL}iyr7ZVIm=nKwfgUuxhl9G*^!pHEo^db2EBjCcGL$ z8w=ek9Pi~pG+Lg@{8v5hOadiN1lnv*O;^M?M>smDzY96yurt5hoB0t6e*p8}>+cTH zVLSm9!OJR!o@_!;F%Oqq2z9`ki>zc~dh)>QAOi@Qfft;48XIr+{%s@17mXPfQ7tgp^&+&&e|r; z(&icItM}cx&ADV>Wt@;Sf2*v`hgvG}trfP-ZH!Rmi99))**X}f){48qJT_MH{4vck zYg=6wcI@<7|3m5Vpb}b-NJLsTM>e*Y4a_U!DK0|r{2AZ8N}l-Gi0vrx*`KA>NoTT2Y-mhY09XUj8TpBE z|90j1$xBV*Anfn2u5K^i|9Np}Yx|lGN1MA(Yqa6w(Oxg_NIig?(C0s|2Hn&E}e)ck+h9470Tm6T@14esJE)^8WO1zF5JMdq*k)VBHU5L9>+5kgmO zDsa5-MXNs3@wl(v;~3HlgW=b+e*tJnW*L`pv@sNy9?vls0Wp)IASjn!&oK>uPc4M+ zM`pT6Tql!^>!!A+StJ<^OhOXJ6sdq@Wc__F2!J9WTDE02^+u!s@Z#Jj4gk}b8>aDo z^!9r6>YZyD5sG}kuOA~$l3>%{mnz9h%qxJu(XtCz?H>&jIZrei6DR zCW7%M@=W&Q8x+i>wpGNSf?@6y>uj{-_ofVWhKw$UPVIS~;f zy4U1z)V_kc$FfIdn$I(G^st?!X(@?G`a?(|c}zE}3Re1o47(&{iRYvgzPnzerS#rL zLLyyjY3NFlCyIiUKrG0bh-K#u847SrXob@qbLGXP$fc2gGFgM6za1$R+p+n&U5i;z z4IHo#0m1}ng`QB2SO`zMP+vkh`eTcSRV3DJ*d~f>dv7T{X$ER3G9Z@cmDmdvp7*lg zU46KCe}2{r@y(}C(~CEM@=h764Y~52POQq7o>)EVi}w8zcw`>(-I1=^PsS|^)AONE z`eJ@~R@AP4&kggcDbecj?&2Y84z82a;rNzFE`XDS!eT-a z6$~qT&C6Q>B`IJ9+!kfA__BQC)i?!wJ!%XDc}{Xd^+g%1Q_M6 zw!Bpo=jAe$JAuJy&kanbnzQvjTX>12zcYO~3FkidlQ!FxZz; zQ$Jn)_0QS#&H4H8IeSfH^xmJQ>qRO}YVJQmoEqNpWAxAn(unLvZd;*+OSqIjPnKy*=4s zx?7|f(N2;TaBW3aZay79L=j^LHuA$ncK+jpb!X_1KA=CeC?L*48E z#;c5!`i8_(~ilN`mV-xtEIZgV)5%I%*&X#Ua>Uw z7AzJ2=0U>zjL)oie&Z!`c`JX&P-O-&!W@}lz!zv-0IswE;O9R&w1gIGBSMf1$`n91 z%OGTpWoqXIoN1C*`+{Z4W0@4`7{`fOD2YxOrI+M-4y@QGEmtMN$v%X{E=J7i+2yzS^;>bjfWhwW(tcL>S0xT}dm2%51<2$iR38LFj zO<8iyl9}&?;f3d4?TddD$yv|DoUh?2mT|pav{nqbnYh(ZScLI~7apP*-72_V;)Z#X z>wd}k57K#!%STe)i0a5C6)vB$FqD+Ml%Af0om7)2V__rj0f)2TTCunniC^X~V|D*i z4sP{K-JkCZnS;>lyz%5dC|)8j7I6?Qs>@KyA_Y<5T8FpQXSRR(O3W-l?ZH|Vu)rbn z9VRu-I*H>;x#G!{ZwVF(x7N(Fcm?6e{qt5@Fb%c@v|)YOGG)?~`ML(NObHcZ0rqQU zcjYhuEnaQa6tR+`LvD>L9>{%Z&4-$$nU|$qm&w*_+-fapouSC72rds9idYDf`@+8+ zO9$x;vg@eG!DfG1JWH1lK=WA;f$_n|L>gnjs}o=ks!dc?H(xZTq*&60iDVAc0Z z?%xW@XWO%grTg{jxj~BgyOh}>gNBFP`>2krXP>}uhU5nY_d1S`BI8iS5yAm9=VQQgXTGbq>j3l`7Jc)}QY0+qsa!#BU1Ii|b#!L|#2C zk_R-xo;uS~)jWbI$O116(o&g0-D5Y*&$ovJHcNjN?Ws-4XTh!Gl%;*uY*eKq$>o?{ zTB#t3;OV&v(07G%4@kKllqx&3CA;CTb0rb@ada6h)?TI>vS7vHywTdxIZ>e|LD+QK zWh&hYwA7}Ex=yPSE$z8POZSD&AJX?D#;Qfl3z*R4c3a>~7$@>lR4IPnTi)xbmdG9H zPKAF~g^oG^Bhb`i*^gH%Pt|F|AYwfq0?NQPhZ1}fS#IrBy$QMQ-6JxykVU-|zIY&Z zO)bW~l!~U~-_G8=nx4L$y?-@%|MThDyXpHT0-v{8`s*!O_caM342!kR5F;OVt zAOoF@EW;h1@*P`o9K*EAd5+^AjTx~11M;&)LznT~G5!HZxA5IDd4+9 zgXA&Te-RMpr|&Bo)iW3G#;*o93iC$ zx@)0Xjt9p?yC3{H(1l`pT|v{+T;#htW(r!Sj~owHu#OzVf;MYUkb&+S9z(~kyf4btXx)>O_{^lORBLpT?2omtaG6)|CMQw&W zs5ICM;L3P4!dR&Lw_s8b%1`KC{b{D$AzV9&RM4Fwof2cuB#%JIvkHVs{w)hyH%=s6 z5TubJSC%Ggp+i80^;XiDQPh`=G9Cnn90XyNf}PKUV&3$WlKd2k4BV3jQjW@7e-*D6 z3c#zybMxq%D%e;xY;m$M{JA`CBLan%uFoPeBXq(oKWSXz1q;aVlM4KXuUW|Vpnib| zt{fd<$Ttl?Tyl7tM(sw7bVs7|Ni%lZed{3C!}19h3CxTvPo0yiYy+9PDH2vQW8IS- z1n^w(g#Wpnwq9)paZ!dLDT-;CecQN!3YUXZMm{#oso z0f>ywanP(Wf|X3p2cl8$+^4uxO+jD^>J(2f(5TulDE;(l@D0y75FnJ$Z4i z$hM1Y(~@G`Whpr%v*;4V-OsBQ{>n9xttR`n2W)cV% z>{2wTiP9zCZ2In&+9TV?e=yj>I#p6hAp6NA{z&q58}xRPg0Kzh3t8j2EOaek4oaFW zptE5zt#|Rg+NdNu@jS~!x}9cuf8gKLVxF+W{nffM&qpaB-=Wyoygy3mY>!Oo^0v?v z*GSYyDO5%{B3;~44Yz51bFmt&>l2MKd9Xg_1S6i?7bn?_+3EN(f4$>me$Ui8WB}S_ z!=ZXomFFl!{HPk@TthCQ$E52y`IM98o>As3`l7e5_w^^&HlAFuG7cui=Sv)Jl(>%Q zX~{#flawuCBtj$2L|8ne*-A}WyVD_UfUu_=S%YyVK`KWJmWoB&D356dEgfk-5ac=J zouiVX^L^p@df$fCf5vlbQga~`20q4fRK)2_)*CuU2=%hpxUU2HUQGJC3@%8OYUC|~ zwA?lfkZNRWlWk(IJrdO-{%xCjoCj&K%<}WP0hxMPa52x-^2J1^(a!y;V<6YD+Bb8h zvE^{rbU}NYmzBBn&~mer*#91<@PDzdw`||=?L6>_Y!(r9e_YiK_Nc>%_<~}6Wwcv< zFfumdnA*;@#HB9!N#^9|oeQOoOzbxeIZLwlL2@XSn@;tlRp7dC`;8ezx-Z@IkfdOsTSw_lR3D z6{t=($j@Ece{yyEhQWzvHxu)R8Y^3FxUEEO{fnD<>1_WV;{X{0P>tIY6{Yg^=+SDL z$5vt>UeKKNS&yYBu-l_$Eo?i?W?!)75(9UbrBTrb&#yL+wRr!Vi0@Op{zC`o53#Q6 z`@T8&A5>otREs!C;{K4e0Yc-WD${VmS}yp=K#te)Mp2dcaEdkJ?3j`Z(;j}JpZT1s z^|LBKplu<;Ir^Kh-H+UWgk>NMy+$Rdhb$P19m^lyh_7ul%XaFo6a1aey#51J`T-A@ z@!T;Lm#XVA76CPv!Rs--e+0ti%qSR0=Te7Bo2yfY-_=CaPtXk zA+&$gFfv?6`&!(!)Trgad7(`Qe-5zH30HM99L+|qjfv2W8ZNRNXdxI`x-Av_8=E*t zVjjgg3jvskhqr06lvk^ijdXMPB}(#4wfHm9!k`-|P?wLZp|>1le-T3i^vH7QP7Q2O z(l*yx)MPjWSa1>1&0Qj}X6X*Hfr_RGlNyK!g*(V7RdJEATSQZnf`1ssjcpNPAcqw*o1+2T^j_903+N%;b0lEW36S= z@s}1Iw>n{5-a=HTe_9K4I=^tL6ku&k2y#tRDj(g}1UC7y5EjFrcq+Yce};yrCSE)+ z^T60+7H}4NJn^!*pTboX1Nm{leiip?6uE@dfo?`A&9bQ5AgRWF6q+&at^D9KoCGrA z%>rw*;+@2<(j>W6g1ZTl*yesVFGEJt2r3>Br-x8WK-nX4e+$Td1ZrElq;3=zf4EC_ zaf%nOy9N^%!YH*%G?z)tc0t!Ma&^7DTY5U!A;>oE2Mw}KNPgAOYp>BgY8)>*-b|*` z4}Cp#FCAYUJmAnN<7w0IOHbU`Ud8@fA!(KT_9iQQyZm&LY&%vqFCDyte;*i_`*Cl_ z0nmrJH)*;ze}-HRzyFNxJ-wX#54>9@-Y4zcC-QAdipfMRd9y`!sFNJKia%Ka)l*c0 zi6k<0w?oy@YP1Oqw`sUPBJtaTPE#%tlOW3>-4co=Ry4?Sz4#+$lqY5X&n4@Ql9hBR zS)a@>pQLIg)I!wkHYv7rM6EK&SejG5J_1}H^?1a;d*oBaSovSpN zrT$X>Gv$8DbCuVRhvbMPR9DisQtS$}S2m+kDW9v-W>uwW#<=Q{<|-fis$(V@JnPPj zf07%AYiwDW!lQVV^Gc;&hniM zUR#JVk#5w-wlMUxm+e=6IxD1g%5`tje_6g{F~2Hsi3|$19>DD9(5c_+V$OtQ3<4pjzBl7w^WL^dKMXxze_7>; z7LmqTFGQW~54|xjZ-;uUe4sb%&BWvfPd6%LJV}|y)0{n?{&TiiJx%Su`cYXjC23hk z1<6vZ7FCu@vSwKTm_TR0B?Dw1=Kh^!qjk=#?dLbBgRtp9XNoMUZ|~<W4vC*VRxAy)V;oeUxs5y=ZQ9xZ?hC#zo+vbbbcXNMLd=>%7Qu&>P zgGOVEy2E!+EXtPm-^7O(MG-lKWR^8QMEDP54B-lwakMcMmqGF|Py-Z6iI8RU;g|8p!MpL`-vL(6A%H7AA$gi0O2}7>I=w2)q)Y^BrpN~ zgBY6RS(Rif87s#a@}@<;Fjvb$4hi#al6+O#mS|SDNYxE%uh+vl-t!Q0>;vUJv-FnSO=njqvDV_H2Nxu_w;|l*oUDS zf(s6@%8N#S85N~043Lm2Q=3CE1C5re4+GT$I;g9LD2~5I#cZ{ZSyd;~8Y8UkMb&}( z#+cJm8zTq`g24!5C>S>mT{!0VGIQ;Xsy4kYnvq=Y?>e$xvo2nbs$?PaRgca${fZ`4 zl4pmc_f2-XBzwQ;*RAsTBTK&xDi^9jjcCMi|X|1efUsX zDAvk?j0d0c%*|^!VPjouxD_y1ePvyyjU8Ec^5G?3DbJ!q#kQLATJE9d>b(&07Z z%6c+=s$wvQ2(Js~P*vNC!4UGbhMH=aoiv#FS&ed131I~Kh(0PIdl7A9jX2?)5?KS? zJ+j1|D%&9YIGcO&*2u5bWCuCJ6T9VV9PdK-oi#7h^5=8KCP9C%I~3cwae|#WC)%gD z!TP;YOq>)q^VJhbEh5s(lCH88`jChG+4SCSGCfK``};}YwHbsPRX7sb3C>-c4f1v(msJama6tFDeg$tq|4ZI|%cCOxGpl4#td?6;JV+53yj6%Zq{(xlrix_2s5{T96Mvogp3WpaXPKEbwGSAK0hw+CcA^fI4 z{{a-$xz(3(v@sNy^7b*)e*xpFIhQu%JJZj%e@?D$CtoHEa8ZLP91iiRZ^WC)r_a<_ zfc#H`5<3ix2dT9&94~d6!?O`C;`eqID0!We?H0g)^%M}FE1~r z7q)M%l6u|DNt|!g{U$2!zkCIR3`R$ZW>d>GInII-&C`6Y^_40w)&14Zp9&?hP*~@r znA+5QRG4wJ0FiByj))Rw3FMY%3*;VC?(~J=j*K1V5Zm!AG0@bwumfV*7&`%RyM_<$ z0MNOVn(veBzGJC3f5FhN6_C)i^!#$6efh?xEhPql=YXnI(H47#EJhf0wbC#;LJx&S znwPvni5mZCo+i~g$yQ1#gGfBh;!{7POBLt!8slF8C&Og*0^%{-@GOhCR9Hff8Yf$Gen9aOVOHL->3%vhWQ|F77(&o1p!!d)LZ8dsv&|~{8zkIjiyll zk@{0+flw)`Q5`bpzNfa#OGp;k%)g3+5Ur#p0NC~2 zQvg2I6jRZMpf~~nEW7SVBwq(sk2Y-*VyGpX0$lCef0W=YxcJD@9SKsj7@O)~G~0pj zP642Ld;$XZ6bR~M!+$Y^s9Y6MS^a7lf+-G7iyjenV-u75J+EddscL9GN8o zrGps-f2hcX!pZOE(~AKGVrLK7eOz*2ZFKS`$>ISl9-b)>Ujnwm@uNUlb74#y77^mnKOvZn05b8NEotIHp<)BCt3KWQSG z;piSaxO6mgX0VCOH|b-$+Ui7R#&M^dEPzgu`mt9G=1tkBudzC8|KO|0y4!Z~9H!tM zf)O)tig6ze=aB(#)xv|-KvKG9D7_uPH9`|jXG3rb?s|x_vHmrswOtS~Q1zF+f28`} zcaAD=7skh7?QSG8uIYUY9**#t_$Zn3v+s@fW5LPc!P~a;9OCzn9Uc+F@>{A!NoZMn zbMIU#_FOz6mZ#<9^|OX|^X~fX`>QTQue-T9Z_~*BZ9>n&VX|KX{TjdMfHzgfTo| z3LP1SwjJORfRw&oM}<7m#Dfz*FauaHJSQ|?=LIW+27dvu*~aUa zaRf3Im(=|+*MER`dwR)f@Ou`Y&fm^npU*ze2r!2&Vg-y37X>)5RyVVcpRkp{`dbSl zCkm}C-*scTehA0RI-C77!$L5u3h0DZfT92sCZOv?$PZzKVC36DE8xG_!>b~%)4ZVx zFy`U;x-4$mv)hs`Y;S&-7EL8q;w!d7;Wom#mXFi8_kTm=6UPGXksrA`B?v&s!0V*Y zXoLi`5FuiFyGUTm2g?PZ3X_EAI*2fVkDwt%iIB6KgeeI|upc82vmf6oK~4I~sjyMt zDoz;LD6-+Hk&g%%kDKUc@DZDN2|wg?+n%hll;*WKFKJxUO52#F`E`3%7vi`{#g>Ft zVDY$4i+>zxW(0O@g&k}y2|*EX%Q>lNc}L3&1WX8kz-BYzIX|)9Gsv=N{COUoo<9 zN2J{0+u#w*pdwJ5Kx|UU5)=Sdh&@8PV2tGcCx1=aeHgZuzKc9B6gu}bPT$dbghdhdz$jv~+|hvj+p{#kZR%Z)0|> zn-u$E?mECW#6hbrZH3!6)SrlX=(JWAW=`nZFax$30iThI1YLFIbRdaMklkyqm%wyp zCV!D3%F9E2bqUZyq6hsz1T!_Qa-A1jc`+8-Xz$^z`SiUM@r$mAiM0v|x~}gx z^h-%^4F^1m?k27_N-!QE>0Wv@(#wlOEq_xsc@7?a(wcb-*w*=b2X7)Pid-^Ce0w^R z&Y}Pv!%L;&jFj`uBj~_*`AcR7$OaNwWCd{(=MCiN`}SW&^F$~&m&d$l@|8d)#_p@S z%$BcoDxaIQ9u$y=8KBqpWHrGDIuKu0R1EeFd8r_ z5~I)ZpBIdub;Z0M=)AlCjup_4U-TT4QI;-2q3ew1l?~1HQbcLE`VRPOP5jdX^@%wx zScCWU2;SrJrEn?MvP(eRruAkjo?_XmtO0&H2kxgCwAazpz&my0XihT5(0`4|p|*{W zZ-LdeQNYzzR$Ru}t~B8Ie8MFd&Db0X7;X9G?$_ppcGq((XkV#=vQYyZTYH+`Bh_~N zWNeic)%Z{>NvpwJ>`C~zh3CRSWkHNV#1*KAXnMDUi&2+4ypj-$3ZWl!STtg&uZI2T z=;t{G+JjP0(B~a#?k61$8hY@Pp$1K_$>gsu+|&NnFR~KvHO|t#a9BS(IGJKi@|IC`eQ!S|apc_nKSU|n}zHK4%)(1wX(0}M<_ZP>z^AR%b zrS3Ndls%S&f$PMR@=HUu_k)Bgu3KT+NNw=C`Ou(~=~C~9X`eC+GC zkzOrN)WM}vBxs)jIZ=LyBKDDijr?%rDx^v{?FX)V|hbMsq!%zk?HT7Wz>CVXv{=_AL37J`vOU9rHA*v6A2&ck@k zrofnu`?EAzilK@@cowq+v17u-)6z$nyA+xFxhvqe@K#yW!+JxYc*ZVIRiOQAg2?? z&{5{Kxumy2rFSj~ zHA^5}f80jt9@qiPmrN&hFiw)?GKi<8;j;syBL~^+a3#csC`*;<3&j~WqGlapS$-9< zxSM;CjT}j%^NSX=`lyb^5mR|p7b@e?hx5~u@$lEHHzyZw-VM)RkKeQ*_^PI>y#|32 zCB#f325Bu~kk??2qz$V-?lUVrFc!nv9t3r`e>w;gh1L>~PkO1A(kfv3q7l5x5|oQ; zEfNcY8qvj)24Tj!O2#C}^h_n1zk+DZhH09lO%kfQ=YpbRR@|Lx;i4Eox^Fo|_sB1s z+~#gNm;GNWURU@!7SHpA9=gN$7J~Xz29@PHlfLx2E*?c2N+K$?SZ&#kEEM~Qk}^^G ze+Pi^Z|h}ji`<49OOgbN!IVwHWe};{B1nTtUgjDPl5epL)mM}h&_z5H%ut`B$pbj> z&}l*tccEa>pmoeAgi?-HdJ=@4(uQ7i6|4i3mQuaG&sle|0!gzJD<_$8`$hYp?&Urn zdMi%Ml5`p570Y?rIt3v1nZ53IN|z(8e}W67jpu)q-nSX)=wp$Nrz*DN>i8~S4k$)G zrCQaX1p=O-{qMz*99UrXFvv@jCE)1ku+};b34zrj5xbkPRlX}V^FeX>qf%v!DU7kfB8O&!#8kyARokHoa%f3AL% zaMLOs{mVz~YCwGt5qAp;Vd3#A7;9h7DU5BG@~WJBi1D*9RgYzLFFVz;{oR`)DyiC| zLU_DpIas|Sw@fR=^1i}Vn@zf0D-aE3;k%Jiyor;IRylJH9@&k0yhi>CH2~Lv?e^nj zw`X#YZz;bDmO|%K1EfdiRpWBpId3YO69q>gr{Wr z1O8&9Ec)}h7&)&)vD^#U1mVMb$iUR=I9c;u)GDvr$#LP|EZllUEz)hMC+gcIt+Vac zKE0Z4E29n4G`wX99Uwujh6Nev4~ojeSXC;(v(vKnO^a$<>zhRwv3=9PeajBiHuJ%p04o>{Xqa zAlEN9*Axn_**uKP?;kOne-!a*hxP}=q{z1E_ZjMkSsq+NQobmoa`DAch&EL5TR{5I z(Wnbr^txmFb6}$lYq?C3xg6`+bjI>SeLY&Qw6y%O-1}LsWNYwO_9m75P=VCYb{?EY zPgrD9^(7vVmriv4_%Qya`MWqffBkOQ$l}TS_gCj9zl)d8v&tHUf4y7>cNFX{Sgs;= zfL+|u9<&omrsW}Q^Zjp%9IxSol01dP3l)Bk*^z1Y@A40zG{46^#0hNV-i=9t0{{PZz;2kUR45Ef2F8McOB)9-nCT>%Yk#Gyq*0yQ@LPjQ$XEOY~-K%>?{MHB~=}^Dr(*0F0UHe4Qp+cC|~%LNn*LVNzs##k($72yDZY zYww9QHiiH3tg3ogQ%d5narSYSCDRM9438al$Iz$0h~ zQCy@SC()LK>L1Kl1I*Qss{uvVLKqAq)X(*ZfV_%KwLDl#3R;y{Rxe9>MuVQJEHxdu z7&B7e0+hfsKgI#O@KfUDgk5{=6ov7PWVIHDKz|WqWiWg-`3uMKPo!3R|m$2rR%=vv`yiU^X2rDi%4cXZ2gjbOXfZT0J zTtB2Xw1h73J?|p4xzOMeQ9pwOmUJQ zQ>&>VT{Sw?O3e#O!bk_+q-aY^hksEqE+T~b0jE;hm03#1jEc0vsK8Y@#N5(q{KeB!9=CkIOwD z!gPO0rx0+jk`hsd+&_Th@{M7~`-)Ub z?xz5%NRZ&tmQ6t=`SzoiK!2vN$VFNlZI_n(cA5RXB7a!9nG-J!lDl|pJeR9XIjsN6 zV>y&qqD>}Zd|F5FvauAy4hqtaxmx#B?28Zd-#o1U9Pk5!&K@MW126X`89n#Z%03QB z>?Z+vkovR8*Gp#-y^a}G97o`31P`M$B5_y6R@%G4^vO2=zQx>tI)8h@cNC)t{Qk>d zwL7$O!dT}1pcC@OE0i)+@sKw_^<4wdIGAik)@&$9y9;j*z}TK<|}ewfB9Ono+{9vq{FBETe_CfvOGO9130Bc z{8Xsvi}|My)17m*Uw?hfi5Rip`-BA9!I5V39Uuge|ChnPgs}WIAZ3cG;jC+-Gui-e zUS6KBR&Q4F%(rR!QAvY0oXw$4=`g!|Y}q2eyEvyb!z3PC;L~|+Mb^tspE(fTH99)7 z9P5#D+`x=>o~B9lwz(XNSGlcvhgqku11;9GFFmpy3}!^mJ5`m@rO&i<%?3g?*jEEP zcYLPBKg9wl(sN7}x;Zb~(xV?}b$+M?Xfq9@StoyGw*T22kT5iap@E}}I>(-&0_U>4i{;%=2x4Pj5Kq27bZm} zDE^XC2n@pvbvd1c-g1zIe{}`WBg-`oN??PKwpmFb@o+F`!9`dz53x`cOLLG7s%Ww> z4IN=Ba0ecxC@#_uvuH}f^bcm-`4-mU3CmC{*FqR9!}Oo6k|GMcuSJ-1eIf(+RbS~+ zX;3C_2Fj89+rUd%}P(0L%tT6d3sQwNnRV>qvtul_Qy@e+7{^HsFuN<714G zYgz(6N$*JNMPYn<0{kJ8CIUiE{4_|mF?GkCZy`{*icC5bCS=Sc3KPjT>L4wR>3FQ^ z$i*DvlMz$bY!znwYEi`VJWOJKS5oJG6wwf%*V`2l|N8uACt#)S?_+}pxw1?N$jTQp z-jH$@lI8wQ6lSXme?XY8_*K3l{DP~xtIZpb0 zzc=U|HQM*m6j$qocrLCSJxkVQ#F6`4j=hY;ff$jbCnIZwgKomVx>>sSXQ;NnoxxMC z*zl)?OP0GDe|L4((-74!vQJJCTym4|G7-MKI)n>kYvP(b$w;zj8 zA@^Z36>ZXFnfmL;P|~?Aj;ZD+!s0 z&F$~HN;SLs>+=0SGiDXVfaud6XYrXy{TYv+a9!4)q{uIJ;T6V9eiJ9#zH{H{Zp~7C zL+d0u?Ae-y4EulAKFr|N<#cv+{bF|Y{@Q!_?)~)g75DP7iA=-&&$#*4m+GKcGCs7n zvyUtYf3kxc4PuN40&d$!-#Sap%n!BGPU)=c!u@D$LdspxE?lVK-U@o6h@|zco~C_i zitjX077?*t-|bf1wL~WM?tLt?vv9qM9?I@He~k004QR|B__>dM@y2={g|JZexOTlt zp)Ec3nq-;3Bo}paR6Td=b{Jc1_pxImrtakJ0huQO`6&sv$QNU$-*oy&OV30KP#O;+ zR^paGeRjqSY;Y6WQ9Aj3zfZl-PiixoM&Y@jC31ean*ZoWbHJ(EI}1+nujk#J{g^?0 ze+c-kh{_(i71JyaXaVTLVi6VDs`I<2vZU%Ja;&m&o&D+lx`{GjruZN>n%K=W_tU)C z@SC59bj-uLdk{M&%^A`>pYu^-19oFWz@%2x;(SKLKk?*Xf=L4cHztlXH~6b*d* zef-`Vj^+kqPTRJgpfOPeqS94%y%ovAf3z$tlFrsp=KJot!e-ND)6Q6T;L9;MLy0mu zyj|#dvA-sscShZ6+Y{+fDv!$4p11bHcn|J*EzQ|mv<8bOxxq{y4sOv(FC}=NY9dXS zyL#sMX)k@MpDr1h^=c^M%S!Yu3t2TldJb)Hlw0(b2{6(A7Rrk6RCebi!W6v2{>1fGp)_@fj$BozzQv$b4aafg7>3`O&7t%8EPl;%k3p4?Qc4)b&nXu8e&o6B(A$JP-_> zo8m(%F4-gALDbr5)udEo9v-~&kCTcc?8At*zPF&w~sPag!i!o`80 zTYY%0gDD_Bsgni_W6&3+$AHm803-R5W7L5f+(kU#n8M)bAqb-?&QcRi>_S)TOEE_s z%jnYpTD)(Co?zyww=P_`V;Z$ArqR1Tjmm#2E97le)bjLCg5gRT;9*E94m@t~FJzLx zPV|pqtOyDTKg$YJh|?%r#_8NVC2@LZN`<_yWm%O?bxk2vijr8SU_L#1AUillKhZtQJNGs?6NOq>@D%R`G*0hLKz^FSK5mjMwF9T*wHr;Q4%6aL}lASyDac z-9)@lzUZ_s`sm(&O8BNQlf)`XR@#4b3GGV(WcfO2^ULK>|1W$0!1yiXQ#h4TybKeg zWQ(wDbTFGWdbnux59swIg@d`^|5^qbMf_<7n%B*L8x!VJn6`6y)guRbvV1f1jtH`X ze{!I=rkf)Y_2f*YB*4NE{Z<8Pzz>f)M45T81QG2)&Wkv$x-mI!#oKdz@NUOf=`JBT z$DE{4-m10I(R0$4H?J>X{TYAHdN7{tSAG4f>)gz|+T0hPs&#sLaNAo(`4q{#>OtpL zd>oEBUB~Bl`8>(OV)|POyZxfhx3cCa@_LvD^v!WsKE>50<@9O9##l7Fq9KDhjx?tc z<3-#YG)UX=tS5EA5-#&Z8e??~X3A|`E zPWE0P2GR{nlWxE^G7Ou$!9iA`uoCHLk;SMOp8wTN_t85gWMrKce$Hby> z6UGTmc}pPV1<{f>c#(Jk&0ZEK{3%|DxBL}=&%7mf3{g7q$a~5FvTv2Fb|2qis=%hVbT&|8ag75 zbCwKY3W(4Ti)dQXh9P{9k%`%##W7fGktnx~daPTfgG_<(PbdHCq45e`7_=_!>=B{aL~z~e*^>6 zE~d68wM(-gDx!KQUPN<#Bg05&uoCtJQ6QtJYD18TICm?PrI{Ae97o14Fo-RBRi~67 z+bzjJ_-I3^7~lkpAt)V$9nvuTQc%HcD8pcssdEi#EfdCxj@IBr9l3;XMS}GvPO_%Z z!UzriY{AF17D2|~k2pPAohAg%e^Vgcy|)Hgu%_>l3>JjBiJtT))evEziG#)uvCHR?lHrLp&-)KgwiUzs2?hQaM&1WSeo<>PXp`1p%}YdISX1J(e>=8MSxO`Zl3Xs~ zX>BD*dF-5@Emy~e)S)Snr9p&kRv503SZ$fKkflK-Ym^yDTghUB6L zD&Thf6hj$I-1?&MsZ4K*>pGW_vj`G-TTZ&@G@)_}>-9mC)W113R+`!6tK_Jt>D1DK zws%i;F767P3Dm6de>&V%bFCUMBf72DwArqa3dVWTB(JV4?6B`Sux8~DA7KW*3T4;? zT*B?76V4qTucX4Q#h_qx$xyNy5KDw4np!<@3GUi@QP=pA(yAsf5TwmjFGye7FiGQT zUVI5%BP!{=OFiM?ei}r}koI5l_ijVc@#w9}`3M01W3Laxe=vKkXc)Pgb};ycMaPDT z7u4mvpd8oLvp$x3_g}|fzTb3uP}VlXDvunl5*iXtDpur9r!qE`%uYBPM`pExhdP;2s?K}xLhi$IX$PJ^uXryizNAG z9MlGKN_pKde*<~)Y-Ywh`RMsiMM}bJi?TYocw%zHri$%6EIYPLEDhO~al&RAOvZfo zOXtyEaeQVY*T$qcKEnnw3aSLSJA0V3WDH!K%M-I$9AriOFfHRx8*e#ac-y{$u~(jbcAn!J8X`oT9TJQOBVE&VTm8K9HpRg!BdC+`lUN5*1j}&M&+%Lw)82@q zL3TO|G*K^mK-d2O^x*P&m+@gT6qnj0GT46+2p3;(xD5Wx?DN&z$v;<~>>1H!^6@h^VivOUeVWA z#2mc2X`z6K1!KC@lmsDW{k&UC3Z&Tf$l|~dlOS^s-rfLBuG~o-OI}D*z8`-H9f!>! zwn!kca}L6Qe_?Cdb;@m)@6^puPNSgjJzFQ)N;f6Mrs+{o2IVB?;U5JAm-!rU6S2O+hd6DWSK|c7>d` zOVYH@S$S0`uhw~QEIr$dB-Y6~if5GVpt4qKu9Ilp)ihO!P?Rm@Sjz2_=(@?KGDagv znoMfAp=BAas01}m3Lt+F8msxcu!!ZYgmwM<=*p&}D8O-$u)Z%-(&AN7(PL@fF_DFv zdWTmq#@r&v@=ZNW@i+pDPp2=w{>yU}Kdd-q(bcBbHcVsXJ+&o{t@T`Z{IV(V^^MNY ze7~>+diqt*kd!jF3G!`A%ls;@tZAm#BCSIhm=NBiNx4=uqf&oPQ_(7B`td}4p%_{n zAeIn4dj{Y0@{J6s2xvzD= z;Y%hLH0)E9jJ$B za@V89m}nGqlQVj)&h;Hk4(>jvOK*6eU)9LLmY^ru%<74m?=p~1C+_pz^AB1*!kP0) zdi!8EJml+(cC(D#Kj8XjR`@Qs^?DmnRVQKj;uqGwryYNRrX?%-9M<;IGijc85=5U> z@^CF9Q9LkS5#)|p1f6vr=&74zb^H3Dy`LA!ir3&x2Vc6p)5`=x+os`TTKbX^wp1M$ z&OgPUv|$K%_PpI&W!*iyS9%C-4?hwl%VU5O7h$5}M}+Y(wj5eCt-3`MXAbJNdkWhIeU6|pJzuqQ?jkDccx4nAjh(QSYV&ro_c_vpSaTpbv4xg9DVKbrmYc& zEbRXCD2ZRt+g;O7&m^UV5rg~tWBkQf?F{uNMyuW3?OJpjx!tZpSZZD8u{7KNb!ZL2)J^32J@3xQ_hagI zSbYU1vz#e7<@j4Oy>HKeqa7QW?#ZwI9KOp0B5V^8VhTllr5=BP;NYjd*Hd*sc%XbK|o2M-Qt#;qu-r-|$f2VUzF8wgTk( z&|_lc5>IaMAMD^LO<0_)=>!($;QlmA7qYd?=zuu=+c;gXR8M%#dngPu#j5k^Dyw&W zf8?5$0jo!DU?1Fp2b=VqViy$|z6mG<$Ry4o5!i5vk34`v7vb0zGWmvE$jG-6AnULQ zeJ28cIL0lv0)hg}N!S|ADSEJuiG17Y7E1@52FXRHx1iVJfB4yq z%EmlSZoX6NV+_pz{D|W~!$bjmmlRD{%~p!0IveTjuJ52pluqcAxQ{iP4*m87mGMep z%E}P8b)+A|=!SAbiRU4sD>jbiF-_Q*lkMf81Uo;)ZS>P{wiQh#e6Erpv3Z9NbKDXf zd5_c+yQC)&hKhJ%XgSDtT?xZae~th4ozB7K=qDhA2%t^{bh7<1%v9@XSMr1)2#=~< zszTsZ&X_69K+TllVyR}yRx~Tjo3Lu-={2*c?NJULZ$~wE-Wcac)UUjR-o2}KHp_(VGoOVml zwYevBs!Jq%sLO=}N@BTZH+CT=B6fa?dlsn@?g==UI1!MKXY_6su4c-|Kq@|sua_a4 zao3-|t zN8m}5yg(nswMbITGUcF3j~wJb6x$v<>(5PQB^_JMc-lZ!7bJ+WlOJgcyieo0l4xM%RRfUh2Q`Yvj{~01!DZyw)y~& zJGcnMKw>zdQM>@hsp6b43nNy}} zoP3|Iv)pGbf7k3;+u}I!J>+`j;&{@*iw0*KEInKu9g@IDrnf_dm~~cm+*4P`^SP~) zpT=3GQi{p_v*ok(edynyHf8T5!m|n8nQHBLsGO_)3HNuGn6rCEx~#X!sU5q5Z$fgso4b1_g|{^OM9-w7R9PXCTQawTx@)j&8s z$410G`d;JSJDN5@rm3#bnV1ZQ7O^Z3jD5HiD3>Z>Ihnj=>4So~cF;dfC+Z63*&V;O z87}zE%jI0l>0qt@1OJs|G?(#VG8C5@GcpzdGnX-SGAV!6THSA(NDzPbU$N@F*iyXR z4_M%&p47Wbt5&^SFY)Of+R7sK+D5_P!a7O+`&;${117GGouqv+WMF3J<2SRj6A+jK z1m5<3AN5|oaWDvAzz74Q8KA_6en5cd_|OR`7)`;a{v{u{eGyI8@p?HJ63_2n$P4N# z^4$QVewu&X=m%F~Fm(Ijr1-rle$F)glE*yEx&8w|DO}%PD;bJs@MZMAcRuQU?O~}L z0vLFO9uD-72PVtjr!NRhCH*}>kOn@uQ*SMS!+d#-!By{{9x{fZs)5u89t=E$3j-Y* zK;}ydMvxIt8~7QyXp$x(O4fWT6?0L4mZeL5x5|I`fVlnJC|&1<63z<>muy&RZI`QQ z&wR)*1yXy+0%xZMo;1>PODk$Jd@Q{XKup|SA`oCQa-MWWQ-teKhzo;{kYP;;Al)sZ zX$gWzK7fuRf24p+x~WdNG%@l?1%wz==sOg!fXR1!9izKN6!V(5_Yn?04P6VhtT6iFrn_lqn2GIW$Q^P}X~C`x`G)+9^9C<~K(m1g27DT^>4uR^gXusP+q z#AYa>G#N{JLBqcm3}8qxq&@{hjA7t1ZGecD{HV3_nDbS!u#qBtiJ)8XP^_iYC|Zgn zHsx#*1WMc(2C1bIQKMX{m^hV~3>~aMFeHBz23Q;R%Roi*l25`o*7st;^-a#RTb}9r zXv$?Wi*LJPj*QP-En2gW>zsSJPp$Z>VY=4yNFcpCT$yblnR3=cDC!y=)E1X_$t=y5 zjkv6H8x^q#g}%E}@RTBhYSvREi;qph&xm^FrRusEdU97X?^q06^Ldo$TUo^uK9Lz_ z&UeLrh$(@t+en*Z!xg9VO3o+;s!GIYm+mz(p?|mRr;n}{^>Xn#nVJHh@lfzuo~r7% ze}Zmaf990@AQdTcal2xizS>rbWz}^>Yj7P-Znie)$nLFk%favYWG&?J=tRYqOPfu3 zSN&>Lt(8>Cu4`+*>|=Oz%MA?D+f7%&yQ{=%Dm^ZcTH0(b#@f`e4TL(0#*xfY`^4J) z7?%z=G9`bveJ?ND&b#eSW798LbaC5Ll>jr`lQ_*Apnn2X6hg)oooe`KKD5YaqWW~2 zXeVqdq3I__=6O*X#HPKqL8<`DG=Ua!v2FsJCkmHI(FdW~!XXhBK6aBy(^UtAV%LWr zYKQ17%^p50l{q362`8f2-IpwFJNa&an(|T@R0Kb=620$_QyPOBz4_z%jy>hG=9q>Q z0$3p`FmkJu%PoxwhNK+FRP=2*m7sDK(#e2gei79DGmq2jFy7@*%G8(fH!^5{UC07# zwb*}Y;6g&{E3GnF%G>JKqmPSz>%gQ!v^4}evzz1ekd%9M{3&xErc)UBP$wn${Szdv zVT_X1T0FGVowU#TW5MmwFPb%`YAWOOy((MZ?>dg(T%y^|WMmMPx!5TpuTGN*dqQ|q z?T>^0;T7M9j$2lI*){B4;eG~xuRe=QnLKDR=KzB{uDs98>CEW<|$Cs$Kuc3 z0=YIKqio$MTxA?3!MKrBzYN>Lv&I2bY~F#kl9XJ2PhPPBpJ>SoBe1S8t~j^Tds3Y{-l;X2AYD z3RmK39g%@*^uK!HCYB@4A{ilU8sc21pDS>WEr5TKrZDaSw)I*GOeUmhWKtApScqxC z21qsPNI(Uv7BHDA$|MY311J%=1&ve`8))?;%93#XGc)dd3mFE}KUT1f0b-Wn`j1KU zl|)nD3vPuzfzIeTZ5V=4Z(bl+HUI`Nu`7R-vv#<~Imf@eF7eBi z$+^Q?PQB^KZsxB?Oe{@e0K`B$zXPw50YztqQHyea&m8JB73Ot``p#f&{E!50I7{FG z<~^{Pqz6vg(D~5nM6bDk>DU7gBlxhF`Xs1e7AE%2F@3Pje=jk2kc}%HWqf(v%1TEh1kFUm@_^=8aTO|#Dtg<#om*u_887yGdv~Ag3^z~w>Bf2E_YcNxwp3>fHEVyv!Kz z=&}?9Le(9wG|b3eqo*cQaYDqF6!Rndh^Zo=>RF@5^fb*&QxOlzJBf{79c^R?G-Oxm z_Cu;H8b+jG!HCRB#M*i}O2fyOaT-{E7#Fzk0;YlNQ}Y!2e21pcwkh>D?Jc?R!yCu1 z3*cgE+iwY7-y;E?dNX$Q=)Figa#9hqjtcsRS8H;F8v6pQ3ib1V>3mf(FjIM!R~%X$ zTqz)Q6zOgl1jMDKFesU&q?y=wVKBNC#ae7 zr92Y|n0AH{zMtY*F`gQS#}MfnfMpzcu?tMex09}RkUOs&qU$JJM9!)!*u>v40Sa3j zlKYx>J{7sI!FEAsh1xVCPMr3CMGf%(X|BRf&fkr$-cKIfn0PLrJlS6-qTVXS<#3a( z8YbbD!Eg|6fB5!8pfe=KsWz)?Ro-lM%R<`%ReUPSC`Y%i>vF=8@Zrh07JKb z8aLlMg*+%5tozC`?|B44ldTZ-X>EnHE-4Ge%y+d@>7+n63LBI3tWB$bJ!mHPbjeC0 zpG5g}k+OLcgm1Tv=M%EdSvYADMfs*7L8UMvs32g})!TUn!?+cD(?k(X*@HEK*|IW! zq%_ZO%%sJ6L|o#1&GK;d3TL5q@1p&Tz17;kPpfAypjjDEv3Cfb_{x%Ow1jr2nL;aS z>=lV)XF*Q#=E!R9$^!a-m_sy`*6{12WKzesbul_OxE*sXgXGh7MGG@R7sHO&BG$y^;;4cszlpDbT~Tp`1cte@>;alYsuQg zP3s3qrljF~GsAC2BN4Pj1ic;oF&n*lV^QQ|-!U9CTOiZ$u;&}dwLEP3CYnX)!}yv_ z>~R%`byBC3so{F#E7*~-7`~fOGR}+7^2ALwncCwZn2Dee6bu(Q{d&k2LH4$i!9p5^Lzy*-5y+P5E;Zd-HkEvlF5E1f;20TSS2xm(*a9(|O|eAU$o1P1 zT#j2dhk+ztat|sQpdnmr!NxJAjMj*h@l#92k$*Q`vyjENJVI=6e-u=KJjye(s|`WdD4n1t0=FllSC|%x?J&i*@{8|%jWk% zlz%+}ZAL&6u!Xh77La8GT}(|IdyWG4bMN0iA01Rjx2q5$T=Blhmqn1uKQ~oSRP|c^ zd0|bQ?_vbQ#6DFsOPI4&T*{+0fLBDg(1>uoZKa~l)E$AkzLa*mINdKwZWe7+Dw&!r z&epZ2pG@v8P3w{s7n&3}nGL0yfT}cVB7bEwdBn=NX!1(SW@#QVtm*JPm%n=BZRcDq zbmE03&^37)hbjttmK4|;k;`&hAayX|wSf>fpJzeJ=JOtDOF+E594b>DMbz_o8pPR- zx;;o923{YXUNx6ku$*W+P^#jgC0@6=4P~U!7+p+^Y;2fqAtLaL4#X{8py86spnq5j zX(wfY--~6PvaEV9^y29NVm&P4brt8?875`8=_XFs$yOn!YKZ$l3=a`C+gW>ySUdY} z*W5uA%>!lfZnavIXt#r@m-(jUAU3)0`d4C#bOPvJ@_N8WJlgA&+h%kv^#SIbp(|rr^>6 zLA6p9eXbrMl8O~sP{p^Zkho@>{;A*#8S%3ChtfZUD0mn&Z+2+-H1+4hnt%0aJ#~*4 z5%xtN-us~{C8&g}mg^k$u}qTraNP&#K#`*r1vQcxWkXPI#}7T zp$74^mhg9bk$d#(${~^xM>*%kQadSa%-s44#3T+jD6g~(;D9|}fla6{J@}h_z6Yn7 z_V~Vf=KGJm-+_RCZ3`RD(I2twew70vECW+&NJTyD;K|gn{PABAJif#qiD`wK_(qmZ zvFUAsh!R`>NaZW^-qN6#@nJF)m+eI|7ne2QFe#TUMlz9q2#~AGD~UtCGrqidd-C$) z^zGCnrc5$3%JjrS{1HxQ9nwR-XT@@u8+wSNl$?Hl(qJ@Akc#TM^ z&2}B?y#RTCgjzs7@L57iCAF(o{5{xv@+xTa29AS5+qNcugrLy%6mKjA-)L{r6Nr8XtJP1% zQn*nlTm_8Ngk@aFBPth_rp}SH=zo&B4n(ns`s~eE1+EbBB+tNoT`+ZUj(M^G#7A-N z*~s@?kN`y?(Tv~4tl&MR+y`?jrQED?z9 zi26H!w8XwOu)9Rsf_W~ZU`rJaL4iX-6%Goqj)E7l};-DWIfDUP8@BJ%|SnMj{xpc20384OoGN0W))Bd{y4&H>}jl)lZsa*JwQPC^-BP zggvy{_AUT$C-o<*A}_a6za$p*ni6GE!?rlzl<;|QqM-#(`%!DKTTNLUdi&hM&%YWFwuEd7)WrzE0o2Ck1UFl7E6SN`T>iuES-eGMb zxh0r_K|0WXOukRDc#A9+FY|6Pd7xZiHUMkYNhhCo0zJ6Xf_0yC z@>$K3G~o%WP8*&EEQ7jGB>IQwsHph?daz}=(n~T%sBE=Sv7#C3`Xp-}4;WxoL`Er( zH2c$r^GCbdu(3NW{TWHdb%wZk8cc*4?W+1u(T6MlykDO zsf6A&F7tIM`HDi2;_rt3XQn=!vyyEhRo?TSRMfXxJZcQIsTW~lnm_%w#`${5?n+h& z*>0?|H2^+C z$9a5hCJWHdtVR2~co60;4?T$;ipdbl>oVKb6^K*T&B#f{@DJ?);DJd*ph(oowZh^&qVVAVQuRF=0j27cWkYqjWNhCuXVO0=yblY1Wau>avTd>Zbnp|`M=n5y z8p+K;Ns=WziPEH!v$5`6irule<|f76E?Iv}Q&+6iYvW~}SHrW4Lq=mw^<0~gWYw9B zRcaP+`~gIJ?}u*v28i5zTr^J>qT_2?q3)UN<^v@vPWhyB+K77g_6JJ(EHu}r@tHbE z<)8U9Y48(5C2^wX$QkNAzponnR?M*xA(~Kvz43(Fl=`C=%czhacL6!2I+PB?DV7tC zUgR_VDVRBx7l+v5WWN77)xRs`(ArkL)|Tssa8Inu z!9N466vIsgozMzU6kuB@=sFSdLuj!v@<|{S{14-Xf4ww6zuoQ{Y$ z{LHelP%ZINv{3lXu2AdYTIl_M5c#%a0ea*|?p_T7P%`jpEm|@{8>|o^n|S*~V9SS@ z3&0en2+wtpEfhXNhE^p)&VCW5CKy3~j65tZzLkTS+AR|`M}gaL!ib=Vz^IXrY?!=i zihcwi0h_<4^1dz$E}s@G*N-e^oW%(%RHq5mPTQO$7>qOq!`9fgQ3P0js&Vr6Kgbn8 z^`*k!Y61M#bv4*8twB`d8_y}M6RvtLvRpo7rFuwMdLy6cmw3HN=pnZEB$$HcpJ_g= zhjM9)gv?& zIs~}6A{5qboc4{+v#tul2aL~r#fpw%XR@VGeYQtn^;%d{Dro#`@RWt06)asQ^x4IP?#|nk^A!B|_Z=SL!s4?u;j;hc2ngem5Ngpcy7tbKoU@0#3Rf_EK(6i%pgmbh@M|&0`MEaf-Msu}=km_MPNj0I*`R?NiGIj*|28 z{QU3tQ@B>@exF$IO3*e?q+Gx7N7VSkCs4MEs#A^a1gcY0tc`-m(R~WNhU59|4|ivT zvF{?!3wtBMIpsYN3DmM4IRK(-KztuFZtz+&wiqm=dX$A`BbIJTzK?RoBtVht_YzN% zWg9^bT^?$G%rC}zW!h@3wpyzB4cjB)s=jZ|@|-S=S-?d6?+Zzh65DKrB0J;l z6fnJ4C}e2z;=Zmr#>law-tcg)lMlY4=A_)D+15;3=+43A#5G;?4l(0cl1Q@UBgKPB zGos%=SCz$`@#8_Z__=M16B~AuQ_gQ!^h-`Rh7TTpC3h7U%?+V@X!^bC5b4ilnu3#` z>0JfJYnQJHE^cjUbkg7ls9EZuV?WX=y62ktQwIkK2%24VL9ve05|R&jYKb z(+p}T#ZCh5Z#+-NzqO@&DjDx=bl*2ad$1Crp}sD#1oayn3%;jVwM;ondic4}?8A70 zPE{#?yjgrT60DdG-IWGs^-h>k%C_gK-ffj(?o>ECdtkfbn(FeFZ?pVH!(6f1%0!w_ zW1H|q;C3-{RR_Au?K#V<(p2}~#`#=*V%(hHJ0~*6P5_vr6R^H5Q_P%Nqow%oT#(;8Zd(e;?TWOa!ZNwHOSk z>-8_;h5LE;dO&bLPHxqQt86f|`z(;pTlqLs{UaW7mU8t6BLJ?NH#v-a&fxR-eeYu2 z`_dzT7dD8(!$K~0jbPFH_!%1$=zniuWcr@5&POc_%kkhH8dtqPdsx$itF)P(;UeF~ zMABxNK5{(hAs9K-e^ms2!Zr@#C==0&PXI9+_oqp`P_1Rc2h{H0iFlRj9yXIb9Hx;R z>teYY_Kt@fVj6%wa(rtm1uhWj+9ee=7#;yG_=r$@8whMT)I%N>n4|$sJE>0^A2*7&s&Z`S7ob-2^ZY5z9mzo#_SV zLgO-BMS=XF{;fqAsupO!B7}vZR6O-Hn|H^_uHmG4knkx_cogtDBeFSvMz6L9ec#r|J zs=&1gOFE=Y{;WP8PQwtinfP@=W?_88!rF2TJWVIJ4vlEYGSGx;jc=}gFxMFBQA27X zpJ=~&d8IM6a=i2-$F$Zn%1J~`n!|V|$<{D(oyci(f6R)O6g>*Y4{fToYtpNVowW;~ z)9OlUxd(09RKd2+tVy}zJ;)(W`Q%z!*bNJAb5E~CGZAS?%myCzHev3GRnD(p8kjvg zrLHIjt?vnNY17z z%l=@Ae{OwSOuiu#a%&^C==yTM=&I9IwW#2S4MQh)PB%OfKVyAI!I= zE^Clg&!t&8E>k5DiA*p^lZHT`@#i`^jIZ>>_w2xaCvIlg)@-@+82KuipNC ze{uQS`0XJ8&l|GpG)dM3O2tf~Ce?b>ByUT$u-B?Tby=03SQo{#1HihvItY`phT_ql zUg|(@km;4><=-q^@rxvhlQs_RpC}Ir{C7y* zBX`Q3Ust@Y@^w6#W%GLI7SSC9^@$EDe~VR`l{+8}OVhA10_G|yR(m!h3&lR7q)b%) z0mbz1YxJNp%=$8)`3M!uHHOk{CKtkNV8r@KSW%Z`pDP4}X3dxW|JpYsM{-2SKKDqe=sfz8mb^R_sstTAk_B$$p zKxSxPy*SbnbDcen<|Xk0^k{cj>%Ku$WhcK6_%hqNiz&lQBVTL{63=B%=bZ{Uo25ZD zcSm|NW6lG8Oz=>i4~S^F%3gGEe|j}>P|rn9>GVDk(~6GuqePlk>FA%{R2@>^L&V)s z1(AEalWa?Emhwe8_ZZ`+A}N|>b*~3Cvi-d_MUoB`!qYX&$?6q-hFB?f%$M2AV6`!Mc zC3jJ)xNauLxqq|p!4w^kZbLoM+$K3V+dkN*SF`QHXjzhoJB|=K?OB_S^e5HI(^yra zlxM4Dbxn&JCyeX42zl2ue{hGxvMDmL^(-A$n{H%c!H+wP4LM&{oYr3D0(74AAk8Ak zv&^=PWyIy_@Rm~>F@bDRWDkd*+|*}&=Tzn0;mEX^sASf5<19H;yLn|g9<=2d07Z`1 za`Q^xu9ERaz9T*`?f!kX5{Qj$WqVKp}+{=4$Y$30A{0J@hgKy(_I?&kO)!G*F=ALeTq_4NnUrhgBhRUU zFrX-)VpRtur_x1F0V81Zx9K#?7jj(Axg4wto@-KA%F&t&&j90~#+cX`^aJUX*KJRI zR9~GS4}gEKzDW|v?v~HuR1N_sDUSdvGsE}5h1R$XiA@n>HVg;~7*mmSbt5MsM;Jgq zD!UjIunT;Sj1`19*-T(n@u)26IPh@onr$^j@_1tKIB?QsQHHlkT+D@*mDWj|-YLt* z<>Ig2%WOTlcsLlXgUBi4WD6aNNp4l11o?3%z4^Cij-K6;|Yg|FTbc?g?!(o z**d-0U`Wj>+cexOpb)9)OoUanNC!C&v{sKBTB2>cX)ViKmWl2 z`5pujk+_>Ly3e(3+pTik3EQp6xJJI5uF^;duCjEQsjS^72ae9tLrRfHm zyOV79L%nlwy=QWTq)g3@lB@vQHv`bF9Nu&{W zg^={l@puV<|59$t7wx1Pu+%1BC@3XzlW$gx%mvm*VOYZPG;o0whXeP;V?05{|5S4`ZFqiAwMWrShI{)X9HU zk|2f;Cw$tl6Q*LmD>wy;ZJlzxBQPb1I@%*Oaa)ScO8n<1%MT3@2~6kAlI%82_Sw^R ztA+|21yL>7jt+vM;@Fm&!?=75E!!-n(Q?b9Y@xS5NVyNqqxyx@Q5rMQIiWvwd{ewCiGiR5BXBo`n)*8gk^o|-R< zdA3R>y9&;6Tqtly17_*I`ne;J=~bV%YvWVqt5)(?h)#KKYk@QV@pRfM_WW zU)o}b+GgM^bI9av`RkjX2K;)$q{>A*J^qE>8z;wv+>7(Oh(jiIq2ObM6!d>X-yv|- z#5i59%ExxPPRp#{=RDG7@U(GgQbn8`S840(MaLPNi!yUO-5ZQ9!kbt;$s;eiiG%|o zyv_FKLI3!S?<0q8GCoud2WPmS!Ky#Rq%QQCu5*M*=}%-*{<#aF>J1V?+Vv_>na74d zx&&g{Bcpt^w_6&j&)W`d&+dPyOCIKvY@K$~c$rluZ>tb6za7 zwBVZ)o=#3cXIVMcoLdjMry+C@lWrGP&>KtMwU(@btexxM##OV8T|`#J*&=n2>(Je+ zW_6vMrSc7)uvs4fxBrs4^|Be9#vDZ5gKx@rul|9BLlGfLP#<|{Fm#=uf6ed31--CI zA#d$}(UpGAoyY8!;)DMPf3Re;_U|FH0KbJA;qo z*S*oWchw`n7q*DxgCP%l)@0dx{}EeL7=LYHWCy-=UCvrs%=O`aY@PT1?O`PouFGco zmWKil6CoS31LXQJLNIcvCk6a~9Xv^sB1+bL3XD0pKg-gkyjo>^K%M^QC|&1jB%F&8 z4zH1tYrUMoz3U^Ff7ljqkKBN5mB0fbJ*Sewpy3nHLVyT$wvoV=OMT>lDw-r5W+Ng5 z?m$C}5+Hj!iKZkNVR(QTgC8J}ftt*bGi4*6*8GnU8~MzJWf`zerH%N_gs%!i-S?sX z^rcrPZM&r;SkfGoG@~@xgRH@^Ly?UuvSDt}Yp_5Z@CTyjfAVKyt~K;+a7))35`qH9 zQE_*AE(sx4F0Xz{hKvzl2&N-rRTzlVx$ta711zURaFtNTh@|nTp^1CFG|I9xgBXUG zxL!mtmzOzDrcpANHyOWL^SqEZ>1VE{7Yj8xj*?H$_E@`oxykgswDtSWHiwt;B5NvH zXt+cIjRx?*f3RY{NZ0Z7;%XfgJ6N+6?2oQ~>Dh?FmGZT5w&vSBbEu}9?DGuFbs-GY z$r%eCX4CXK5&GHy=k6j6T?!b*tRb~gK!{{=%4bbgQ9-~Jqyj~^KJq0pP=YAanwqD@ zMaJ`0n&iCA;L4q0&V)y+Ldc`y81Rq;rUv!oXxh#Ge`>I?b|<5ltTjE?)W8qL_J%RR zbm4`-O;-G#I# z)i4!xNne#%vuPoZ)%d&=o_vOWz z@@|HAe_rlxiceqCz+x!yA)ZA56CcVEV#dc4u^tq|v^J3->{gY?Z#y&8h;J8pz9x2g z+eq*;zDx^sz2ZDW-AyD>Vt<$;@YE$n_V7?BHw&RG`h{`7Fz#3}PCD?xgSMRR2)P`$ zMnI3q=wfZTiR~cYb!AvQmd-X_L<)#!>ts^4e|5?`Jvs?v5rnEw!PV1n(|~A`ZYy)m zf=My5&>O-f*QK}Ez*`2)BYAVT`fvL1JzPh(H4h=?rg0ajf3u%oX6>97;o#} zf0)h9M&kxTMm|13cjxXZzeZ?htDA+q()39J+FdqG3CgV+h+)wlMmqEl6w{M5N%*8_ zIDEqZ4QDx)?fQ){+Sg!8s`ZINxJYT8CzZ$RfLrlwW3tf51Jv6(T#DNj*YUJR@@WJM zqdxLCZS@btW?xCen@KZc9wGt#23fOze^=K0sA~%DAX>%$rs2FlbLDPJ>q_m+qWSql zJI!9q9z3}yKjW}A7<$*5FPP~?oaTJ0T>P3#$MJ%?=nQt!jW)6EsY!Jv2=ao;hH%h z8axhiSn$)hdDR6~*eeU*+t%d#f5?I$-}=?u%#ofMA5xFD5HOON2es2i=?DCZd&c!~ zwJubI+!qT-iDI7Bk1aGyM1k;~7RqBTTZ@W{DB@-MW)n%p7?D&6a_-b=gTXZK#NLHa z#IEe&hj07s{nx!IAc&OV@Q+H0%bW8e%!>6&-h4Yw4xxk!+lrn-d~UIMg+iNi&&w=;iC|TR+^7)zF=z#^p6%sUL08u z>aX1LX$1GBbv62XgpFW$T|h6gLKKIXNCAB>Ml=En!HBw{7VsY&;Iu46Ry1r1zyfT~ zc$w>`inFmB*!Nl4)P|DGC51sVqEN58YoVtRqQrl*06n6yzf*z`gbagcDOx-t;#vfI z$fLVhV2ffO1)jITBJe##K;UC|7*QmPfF2?VMlQUd0Lu%tIH;*jxin)G`fElA<4$9W z2n?JWo}a-!K;PGktkzFe!i9b+XZkJ{%zWbIoF}<{;Z0#$BxF6n{Lrr zUQQY6V8F67--ioWfx^(D0EY7qk-Ij69Yooz!!Y!u;eg+w$kz;86vZ%HXGkc*(i#=J z$)refHkovo`U;b|D5fon)YOd<@#12ej+K8j)LYZ>it^I(rydOfZk}Yt2Hd^cwmSsE zJ54xzNPH9%(jFGUpIYp@Iu9Ulxhtisj;#Q>UYm(Bd~Q(fKLj1Qv>Wib;&uQt8+8@* z8=p5hE5u(4myGOS>nYDFk(I?EoG*?auRR-GWqGwUj>*|w!)kHPSzVPyZK&)qQNMo- zVL_`|OFF6RDXZu=kej+srqfAc^e;7UnC*en#VB?==GjY^PCbZ{&i03nhd}Ls>hD+D z9|C(9R+65s{nw)8Y$ABW-cJ4nTUMtj{X>$OWMNkFRRWQgc~;C@A))SO66rDnKO4f{ zotFF2=cKqDC%&sN;XuAW%jQ3@!@Yl<=u)CX1>2R{C_8#i zZL|;$bx}xzwiBFg*r*b*FiCxBRuN0Vc#(+g-ee7z?0M0y;)S+&o&Q7jpMn)U4LVzX zd(^t7BAzPNBaQE2FUsusuDBQc0C5j;VUbB2DT_Dof8#U-2w9(|*Zlf_)Z2d*^-exn zTEI$Z%F--PmO79vl6vtZlwt2Q0=-MgQv9P8y)oY$QJp?m zc7kIR$y$1!v_KB{{#pkuy8+}NQQJ!^o)uzC;qic!w`YQ|v)p-9-FnNMB&D3lWa;2J zX#>saDXhQ8yFEPK-5&K+9lUW1X5F{B{Dx9#d9{9`1kK(vL?GCC~c# z#GY=;girr0V71SiO7!0$0v*dO9&+-g*fj_Cn2B|lQ+xbtV_}v>jtg@fHhcVf_gHS< zv#}T0kMh`p-c(VRQjOa(`iZkOfl>VN$!U~)^)v_M?sxV~-FfCJ>Zfl@<=!kWj!iCCZK9>&u* z>p0J8+F9)RJ11;y0k-CLh$7Ecq<=5gRE~cBF2JopBtTIJ%d0W1ReOs$KJuKs`xxR8BG@(KM4^`CG+*e}jDQ7{ zwLw*hs7k6oEMgI>))fUSbAU;jtBwt&rDn5OKER_NA;Ys|5;Mo7S(KpDW$1504biwLqY*;~SM9Yn(Ls5P`Gfzrzp zB-yulsaND-`S6v&cY2IV1#UO6_+E%S?NGlQ{MYrvNAMyYiV$!pPm_j_V|(CNjH}k! z>A7mqTkRI|p9Rf|oQpCRRDX|K7hBbkmMq_#3e~7K>K&_BDy^*idVe$^$X(!1=BBUn z;~3y~Kbr%=GWwHQh|AR`F8L8`Xro+~MRpJqt}juK9;OQ=#DEI=s7PQk#5x)oq+!v6*>!x+JE_#kBF364gDKCfgaRem!KeOl1XmhZOL+KfK9(Q8fEi1qewOX zqytnTMFLGnPa&J82Dda((PRh9LZf7yrccMLIq^Iw1cE`7Y=O8Yw$@sSG4=3lQ>m^rA#o&pMNzn4OoWbL1h#ivPYXr z9Iy|z6CmObN-Wki+ikJpnSrMB=JS97a&RTxf1<^|Y$QK<$Sr$nfA#6p<>{-BZ?#*x zwYTd>Ela0o=l?HOvF9fi5pogbo$I(s zuz$b$hbBTh(0>o)O{8p1`ZCByDOJ2rVN8 zw2!Llc^z{TPJM4WgUP; z_e;ZMQCnSacvhu?fydr9CEiHtl%Y}61rEwK3ta2>b$?-oK!(EyL1b4V@3fjzV;N#S z8k}*6)%$9y^9$AjUZefa&UU^eMV3`LO8}Q!_4Bw@eN!YKzwAhiofd+=FkaJ9WG`dc zGQRFAtjVQz2Mxo1RY!`EyvXcdNmq;u+Qgcj)%JPlAD_@TS@&&p9dol!Bk6U)mP_C+ z7X$iWIe!;1q0z@3k3XKk=cd9DBklx@(sr)^3b2c9n6ud`l` z9!1CrTGfe%n}dNzW$3*F0qAIDA+Q{Iac~tLgvj;$dycfV$$UJ2fAQC+w|n+;6GH~k zAe+S7`{0jrw#tpEjTZH6P36vDD9Z(18FaXb(`r9OV+)9NY{}@Z3@^5Pggl`O9GwVk z)PIo{#dY_D|E{RYu8$6!KLj@Nv2#FBNa`C0NRrK&!*)&cgZE%g0v9>au&s1LOk9@i zX8&HG50(F;yrg5{`=tgXYF{fOTv8Us0h$8;J_Om0237`wzLkHVjzF4?Utg*>zFlIB zci=X32VLK3LNE_7Q8&IJib7xs!^_(RFki4O!Sc%7@fP|%HCNZaLf@{&tC<9H8KMS> z^)Kl?w?5r^c^^1WJbmhCz^i8qQKPPyr zFSF&Z2mn31zyQ4d2VgXi{Fgy|GVFhF;&pRT(WvH3zRW+2-p)r~M;2$!G%Oz*NK21@*c|s zz8%y8{$qM(oD+sgi4xA3XO5Rep4F{QfhM*$e#Ci6We;459>k|v71wGxjeCDT1is}M zoO|F$?okN>E@a>}QfM?ni)$eQmhBxQVH!TST)vFg7OOHtgi%oc{;OG;)QvTKlwE%tSilT1RL~pA zWyu<=?>Zt3#A3TLtRD$l!ZMX>LQ3(92-d*>3tmjY?-?G`x}}~3p+tEJ&3jG~uOT5Q z?P)wN5M)Yn*@vXSq;UQwsfNp ze&bL*cqAjNSf=DDOc6(-fP-a`eb_GaR z1n=)L!R-ECqbj4DgtzB;=Hn?!mwg)2^%BV78A!Q@l4tA8GF{;F_%B7g%?Ub&?Y7Ay zhpk47`25r9l23Vj1fd)1X4=!niK2tP3eVKtfhZ$8JA_VliY1#R4bt-qzf#~`U6CM_KH^*>Gz^+7K1z_W@tMD_b83z00&ZKb5L4}4n!}_`4LA$jPEi*Z!VuUz zt8P5q3FN4*H7$P-@ES&rQFyvLcs; z%XtEEP=-~KB{^%hBxHa4oNEwMXYRD0x-aoy2{6Yh zT%dHPk}bI|>FSh$UdchqY=L^!My2tgxPp%mcZ!mx^wX3hk%K;w%6%k=mpSo~db!1H6`ngRA$p7>fl#83?bIzpZTQxS8n8n! z8>|fRU#JYJl=%WBNGGj3IoF(ClIs3)wua?u`*_ExonC@*bzN0GiY3dDmQIaUEV zKJdM!0%{VHYtm{#U?CIh>#TT9>2!0(%C)-;Ug>{`9e7o7;RV3sJqMuZjY`EEl@mpdBamCU*44P6KKU*NRi2XL7|4hIH7v3dhkI3`VX zu^YP<2wlgRIvxmpqo5Ptl(QOMcj&Ot*T)pb{iFZoUA~pT{n)H1|^~%>v7aW{MAauef z4^A)H_loAhRJwTsK`_HG#T2#?OZ|twp}1tt(ZU+_D~*z(LKSc8Jg3)`kN&|bqbP}b zk)s7rTD#7V8O4+p4=~xjyZ5HG?u10W+5&voa}{b%Qbwf5108(?jaIi8HAuwLO!AoAJ;hByvoV3LlaE@4Fzt z2OwIJEILgt6a<2cePQ?81=f}t*4|A1xSss_mqj!m`Htae*9*-xG}rQw<(t}dsNLw7 zbZYBmJg<^!HJur*r(eJi*6Zoblwdu}@A-?Xayqm1V9uWR>~X^9FKI%9e}eKq7y)rU zysK%{-%LMUznh$0Pd-lw@P#$P`LK|SU2VRa+?F=4Vx(>F@zFY`Q^VH3 z#938{nPACgD11h0uAA*7f9@R*ImFa}d*t}mP6=EP(zRPDBpMz8E%=BS_AU}wa|{o; zpbC|QZJCHLfsdfkh~gu2Hwje|j9@)RHf9e;%Rx=@%%!lAYxVrkH;`|@uD*i^7_T(482x~J!kPi&k%oH^LLi5{pi~37sF`1f2EvhsFqG~twhQCW&iOI{0s{`ohNTH#h z3uc%vcaFJ-{uSkesD_DrBB}i&eNy2eE#TY)Wt^paqKtx4tWIL!sStkx@g5FZO6Oup znW1)vzCimh-de?$e|X&l>CpaVwk-nl0p)cW#l@CjCw!(5Yc`|tno<^To?=gE_*D_7 z%Y?pqO5cxbiuQ3{TXNn$o$tYa|Lpi-F3etQ28KXj>^yrX^+H9&5qUZ8OkRA~>|LE- zym@~%$l~h{A8s#R|IJ@E9&TCiaLk^EH!}ks{%Yuq?51#|f6t=;f(Ey}n z8+)*5DJ*^r;!;6aeTT~F=L)PM7B?#@Z~y_)(sfnt@*F4uOKjtA_C|1A*``%%cd_lH9e{;Ddh(VCiDX$Yib!H}zBFS&h z_0<`GbK*bg2I4SN)hPFAwozjh?HrsNuJ57;Am?LKUy>~wbg*AWkmCO_sp4;52OjZMn(v`-aUZo<}OD2~`>c zBQe({%&5(r1LgdR7DcdZ;B^@1H5hHyZ-P7&ui{d*@4+ZWNxPtqt%UV;nj$P-=he>U zm~9{vx7emeE85H?$n=zmR{If1d{n#P0LHh`r2ts{(AsBkti0D8iCugBC}I4n1@rPj zf3F+l|04wY{!z~{8D(Y><# z#>J?eIQf2%F5{xb+X8WQ_aseBZ0n6M>>>~2Q$cUM9WAT8b$;ny*>pVQvzD8I9B<%S zSzH~n*vEcTIyG(mq5R5)^5DfX96H-VhO_sr_}~q{WgtQf&L|<)bY>c+>FTFZDX^}u z-_d($I&&;vKRlfSduBnxZDZTEopd_3?WAMdcJjuy)v;~cwr!go-^|SS+&^*Z z)ZV++ni6vG2R!#Odi!cjIB_TQR)6qED>N0m8P6lX~HcmsXU`jN9G*V_t51q`#$vUN~D ztF{w;>V^Fc;B9m$1!9wwy;fdo*FP?ML1Hd%&*-PJtv$;kF)(LPp9WPeCBxegN`v+W zhNqVDt6U&ck+l2_#C}1*NTXu%H{2zG3n^P7w3W!152`>}6Da3Aw35Zv=*%?FIYv1B zE{VAw(nl$IF+-Y<7ZTB1xL0Eu+!R{JvYlZT^FKfU9KG6?WmO;K7rtJlV1R2ZY}`AH zWR15{KXB-LkBcL6*bg80i6}O3#6_ZHG(5p(0Bq`#7vhHXEE-?jj2E44IQ;Y$CtSqz z+fwa5o40@&EeB>~VZqa+k>*rGiHQvBUl|I}pz5#UGptAc%0$ViE1Q#rTWD^JIRN)% z&DRD2^wq#j=b3-VgxV{be*+;8ax2z{MlvH8GmNd8<8pzCNm&nIbX>$ZqG?4@C#pR!FqhUQ~ z9DPM%Pg-akmFZii{|Sh9Un)q3RBBv8h1c8SHSKOF5Q;bZYwSjLhNQZ=<#^Fa`@u_0 zPEW+?kYq*Pp5YQ&1wVNm98b!7O%3I?`Wk<65eqNN9dcFr8$;73AX*D|Utv;`a7a`g z(En+Xm}uq$!77sGV2TI7Xm>rjo>ALvByp%`-v9ksDnbY2K>%tSQ3L0IK z6gW(`n%D2$eTFc7^|@x>{H#N*9=_Y|w4HbPNs4aI=&r&aFMw$m6IGY9wXwSarxkGA z=IjbRO@(GDWsJip-(#p+1XR1sC52;tg&)(;ac0{(ejXo&#Q7s^o;iGc7e`vZ^!pBl z7nd_LfzpfX+tTku4AZM1nOU^4r}-aiJoqG9M;~zGsK!6QxU>($P2g0d>bIK48^4RC z&mnEI>`bR-3?Osq;ZEx=Y&)!o6H)Lg{pC6@fx@%fF(n6Ac6h9NU-wa>aTF*;B z8C!Tz?6?uax4S@@J&+8DFhHN@N`e0{96#f)_K4WcZ;uDnygGL?wM_l+nml$8>WZm6f;r1SSG+whl-LCGiQ zNg#@(BAw5fTh+j*$~ywSG@@5?;=s$#vtI6O-OMATy>zsv%uuV89eTZdPR6UAJFTO< zaTO*U<8GvM;J$RO5u+pkRrju#-|PvI6#)Oln}VR_Vp*!)WVj*pLE!~GzFw;B8~%jl zq|VYXDqZxf^c2tM5Kxl7hFm-cb?KC*%Q0y9N0w}l@(o~Zff9k{6Y$Bgr4H$!SPCOO>tA92`Yhfc6G>=+v z-)w{W5}_ zU96G+f{;U?&icRpH}3!UzwtjVLL>&=8b|Ecw7!McLN_R`k zR!1nVfkeNChW5+hn=AZe-zL5m`1iY;V5f{pq}zbjk2|n!dB{29IVF$|kZ+aCTd!?& z0b{LRXp>T*V9Wtlxl1B6WkXVHstCEJAJGoAFpIngyDcnMhlY8!A-eb{067G4lxY_d zj7*=R=}7x+G!Olw4Xq8$)P??Z9}3fCEYR@$kKNTdl3_qRwf7iz&#}&LR7D+JlmP7o zlq=;F)5JJYAruxz*+cniK;}G1yG5!nD$i#oOOy3sE+>D7IdMg-r6UntMD4ox_`i>b zwXr+*N7MVSfBT;!b88)U?`$A?)F9jlAZCWf_Y7Rffk_z5qh%G{2zgtkpG^~$jclhD zGRr{WZO z+E$5JqvRsLrT4+(_FfvJeJm3m0qK+JDJi5!T}E^8R0Dz?H({|3dm)ThE1YrBc*Af zhM0ItTQ%)P-sO1MC`^t^sj@3EC9#k**SG0KW}+nF(#H2m8F^Qu(A1L3wi*fC@`4G%tk6=+vaIF1O7ht#J(| zFJz*b*wn&(sCBV+8Y@)sVHe6uIRooEX;mXc<9gT7!U2@Oj&~wfLfzBRM6K#3b)82& zBe+XJH~s=xE_}$_k*_i(pku387J9r{YeN=Ykm}fTkq)VA0_wcGd9)3TYL|1(*XR() zhszaTBbhcZ)oSin1eH1=6yv~mQ&e2b?>$jv9)woIR?w(EdZrIPjG z3!egj;Mz1XjEnfq75QaU$U%6tNGoXR%S|el=%6(I;QSNmXQ7_2yHlp9q_8&b%ewmQ zhQrcaN>=sv8Fsey$hwl(h#ATet}DJS>(>tKfM%YIhT{kf1qXOLmN6o|L2Baz-fC(`Okrdz+Tfy}7-4+Q zztIBLF z%g&Dq6G5EG<&1ZJ?U&>yGcyN-nmv&V4Q_UKH*fv&k*501WRoJ>8Yd}Q0)1ljCp*We;Hz#E?aM9$iqx8hcpXt5RLt)) z)LPaAhEX++{KCh-O=0n!%ZIf}+ZC3hB)P!kcfsIYKB!3e84)hKQ6mq#1<9}pAkL2@ zJ7+0fo3oJG%1*qL5TdpdS})EsL_0=}0rM;c^oD_BTp&e|oe^^kR^%fpoS&3!cIwOL zd9W#e_(C+d*@DRO%A39XE<05anOI3tsIpI?r2PkrWV%h#lLmfHwqKkQ2q8RS|4wg0ZqJd=dRJ%#ioGC`aW-uA!wVE-;w8%JP7ilrn81r-`1P~~StX#a z-7m$gwbzY!A7niRv3A5132fE5$nRSKGDJh_z*(GRI1O}Uf@foNj*Sv^0UHq6pp$1B zfSyBq`HQTTA$6g31VGR`%7XO*^!_XetxZvQq4HCc@C+*40<-)TCd>6tKh}m2O$;*9 z0&?*8nxzuG^LI%TQAU9x@&dNb{A2>*43x}Cr^fd%oq|f=u8Uo>k}szuk%l)F8#v4{ zk{kV_a`7wbJd)k^Z(+FKSW41kKN$tJ4w**t(kYGfuB8vP9ssY;H}HiT6zp{vjd+(^ zy}CuJeu8K&#j@7S0JwI)hUk?&fiZ!jOUasPk=Xvse|04}lY0-ze(Qp52;%6T_+lD4Q79UFys@PxE;+dp3vH;F z`6z;bC1!u{D*%RiuqU18h0;7WI1792pj1tR$wtSIyAACt#|~?O2uMQ zFd4dyn36#veQ_9BCIwix1&t1k3C4wWsz_u_$4_lr1$3pV2j7mtv?kH_Mj;V_0Fy;S zgJ=TB{?Fy*N$q_MfVU6ANr#)85P6WwhNrLjCbhvM2e8lrs(^$S1kq~BRh*U>@LCpl zFOBuRxQ$nsYoO?uF)=2#aE_SiI`4lxOF$sjKN^az6(4)?ve$kx$g*{F)+@irFw7;0 zQ*rg^qR5jXzEk3>QGJVJpd2BwJ!=c~Mr7MCK7B-CMD!$usC68cqw)A1MT=)Kz`oa= zbCpAI56~F8Rw2$=f)@{ME%7@K6o`;jv)Z5(u;e3_7<(axYnqIkEUKf8l6ts;tntrM zB7N`{M>w-9|I#u0R!iTh+ASm-Ex6>m!kyK+kU?MGDN~=eq)V=c&2GR&<|!hhJfdS) zVjfRRvU!i9L_YwT{sK(Z;z6l`zCY1xnwjMbYP{Er)Xo77`qPS`Bn^Us|9Q5!-*>j_h~^jvzfs>)4dn&aDAe z0N_+k;#oIfrspJ~XTAJxpYjx$K`!HLR6rROM|#$#?BHNBfy+;qS9~ zYCCjTC8<#`Z0L$MsV0CbE}fRp@FZ2_cBw)ZwL?Uv#M-{tmlFry*6Iovn z2meVH$uq(*?c|k!#u}Xho9n}?NPQ4Jr!XJZSa-Xj2TUu` z)C)RzbH|m2#FznJ?v^0y(paP7Zmw3XK);$0My+`z|GB?JQ} z$QYKfI|U4R?J@07D@gE40HQh>7@@o0%62MQ)kklCk?}WX$kkaV!i)Q|=p^Ol z<4!g16(XA&LJpFM73fuUa3w)BhnsbnSNpb@QcZ1bdmmqJK4m-k{y6Jz9A#l^42cGp z>q3DaJ@bd<&p*slmCZ|QP%gb_U5#!TXgyrNr6*IZ`|!bf^}eL>P*hNAid8?9(R%T4 z+?z>Jr2IOjM1^F$Z_+(ALgHd$x|?f3w$rOICbLE*GL{nK-Sr?F*dVXkB??Iwrig& z!R+Ffo2bT)xl%c`i62^47XIh*k4738ac5<&Wl+L}(X-)lreh z_>xjFm281O&EY~TJBA0J%9D*mKTYOyp@?vVHK|;LB94Xua3)j{9kuj+8QB;Yq=sVu&ZcC(UC8eLHN#2c$@>#A7z zlefsc`#jmr3q=>~J}ou5$>ui-gf;bjFxD!Vw}#q9xF_RrTZ9G*^^nsWeT!(qOt_h) zSOUT1+QW*iMq3EDJUns`OB?clw2HTh)5y(@N6F<76VI*8UfiG`5OCzxg#WE={W+cxU}3g&?_$ z;eaAzavRa8e@68-v~ZIcy#rnrWC)lPT*%vO5`qYXlDDv&ir^i6=}Eq72AvXrIem+5jMqM*n3Q8DriUkp+0J(0e% z9g>Q`eB}7@(VXE4OxkOn>pD$Wqn>3&E%KBWu}vvd+r-A;hHEj&K#OKra8k7t2E>U9 z;C6~ALCQo#iFmYKwdD7=v^woGg%EOReJFSEae8cAG4B%si{9%3?Rdp1&(2&0i+9(S zLq%s{1}ysH_K;jA_#92=*qsy70|?Y2R@&>PI3k|r&OC)hz}j4M>H_?HGj9CzR_S)k zFet`g)cal1HL?)rkX+*VT;P%-IZY5GfaWI3YMQ$IBa&2Ylww7r<5#xVGT2FytmYYe z;-i55YbEX74Nu+A10Zo=y5qJc?=Qv;((mL?vouOOF&a;q&415V4cR@*yvQ;PB2`en z1H$dP7k{!weZ%uob;+PMJUG7RJCFAy`^93k$e@Q8c-Qi_Vj$x{4|B{_X<`r;0Oar7 zgi6=oxmFN@AzBkP^>J)zhoNSZdL4=$$K&NMJluo+avNH^42kVXw`_Fdw(I_i!)747 zO~lNIE7lqikK(S8@wDwjA;H>OeTgOF-%XHN0TQMWvE}j=3XwVYPf}k_fUL~|6xSB8 z1CyBdKSZ7VtPfi4YmR{07!Acg0CM|OPi{_KT-V@|{5k|W=JEUHFH4;RdEbTYR{?dq z8K=67Gs{>K5mmgS?E0-#1&l(@)6!EqDbvOa{0W3kx543g`@Q{)1bvf3?pt4$@Xs(g ziV>3Rg#|DAxs_479G>)y9z^$?Cs0XUgGYvB`$r8^1zl!z}-S%X1i=A z5WkM`qNb{U>X{*apYOBLa4Hq#?!^MD`rU5s_Ja?)Nt!ctJM>bF=yu*i1La?~WBVuR z)4pdbKHojsCc==F`!D&mycnguIG6mw#EyoTj$O}EgaGGZufOzwH~X#ZJF+6w?CP`F zM1bVL(m;~$!HM4fiKH(IfGV3paV~zxH&F6y<@Y6}2ENqjunuN6e0vJm@BdXs;zI~;JigTcF;2uc z*zNP!h7`OjG--T#pYwN*)kOdA0K+N%>07XpHYUpE4349EbU%4L-G0BZQO6O1t2-7Mx7U=Bjek%9F1z%R_;vGPj$S#wJE_=eqvgTv*@nulH{zie9atNJKSE% zDxAsMJ9umFrS>FHR9_TbeWj}M?jzJd&~^4Q6A&Ak2M3v|R+(~5wNQOvM8H7OV)d1mzB-m_g7dFa<)HrG|W_X zlj-z`<<)K0*@9>LYAUmeK0s(Ah%;%a*}E3^)(X|qwtrLW=XWmKF(oZ$Y~84!6V;rh z0wANH)2Vl}t~HHTNXp|V^2%H7qa-FrLMTVKpGrKdwC{#&Zr6dD1ph#t?#cj%mwuEf z&r3AA{+S5c)wF{Lw3rw#B^gD^&s9w~3;Xq0Dx9PN^jl(aX1-p^3Gh2=%z*qR9=tyi zU%segyvgZOa(%)|hYr7!(njOq1H{#PxPg=<`^xmw)oN17&6LZ`w0oTgGiThz)NA(> zM2c_HxGYBEMAzH<=YF^U5UVX8S(x#iJYdmdIZnTbm(&T2!R+&_fY&d`S7`a`NJh`z zh<>xqyk9?-w3^Yq2_U00As_SE>or?7ZLDfK4`U{2%a~BfaJjU&r5VL}7eV2+HCV{I z&rH(@>s#4js(84G14UnR;UQf#{`NF9U^Bc&Ao}`kLqOkcv+0H1Oj!2fbpmb!EmsquBC*Qn?!(zmbO1P*8&r)LN0W#K=O-*I)SSMy{p3Uu7x!3)eAJxq6x%a zE=w_NO+?1o1>vZLBJUo~`oA2_0Xj-uYnTUqElGDE0yINdqYq+pE`z=8cUyRqRO465 zrIMTvQignbz;&ce7&GRd3a|bY?=43c3T$mdn?mw7Bvv8BZmh_TuRRN2!LfxmxuVL* zrB5raP)KP#*r;(oMJ4=N=WZChgtNqe)i8LEYT!B4X5xApG|_E`SHaov*R?-A?V)to zoC*HXe|4FwD34uSkY?z*68|w#EbB7kD?V7oPtcczF_~2+)B$Oxd8eGQkM3*J{b!~RonF~&?W+He>XClZ*y$3<19(y&)?@Gl zh6mHR`{O|p z2;>5#EvDDaDhjB)KL{b}LTd9yGpuufBCmqBff4Xw7;pR%SpLW6h(D7hhF+CGepUTV zu4Tz!pRc6W^SRs71{l(pOgR{+2+?pE0A)Le;!k)K8x$iR&MFUy78V2|9{#g3 zGGWK8rf}L`LMzzt4J<9YXg0??RqSyWbxu)RfG**(GU3=AvCo}nJI^#|-a)V3=#coU3{Wp1 zf+|z_DcacINS)k52|(V+vol&)2e%>GeDX3kS6=OT>c7g@pRESJ6u{6zbIe2O=iKa3 z#oUX+BRQD^eMgBqHuLOZLaE;MesALVbbSN6mn?U1xj#3WKWV-7jff8=n{<1L0p)1g zaa%be( zMbGcuKHR9_DJaqWkeFAIs{9(=S#C&gT>g0G2YPi61CLG>W3SD_z0XF|7F04?WX}}I zG)B6~XQj^M0aP7Jya^m+q*Ke|z^=<;E6AhmYmKgWl*ML_ympiy<)<7emKmQVgchMP z-y}gPq_|Mm2VmUOAVGriCM~TEo zn`oUq4`|5Q`)tiWb-%D#@PLQ-SV|h& zKb2I(rebPhn6$WW$B@3iS0e=LUJ)|BK4-Dwt-J}+8DRvePG>Xou)S6@sC@rj(x`-u zy$~S)oh*w|SIig>6X=^1ouAKIen#NjdR)DZ2e{uV!gi|dG%|{GtAnloK1jIdvj%z0 z*P<%MaON_Q*cQ9fQ4YD)wOP30^N|qSxJG|Ahjj|i=Pp_o-$0VY$=GgKvc69M~9cM zTBDV1GOz0b87&hdn+N}Pi`plM7nOX5m2J7oKwM(9#cXPc0_wbA4c&v(jkm1v!Vh4g z$WoN4+#986zRJA8oGWk30e6M*HNX{s#=qdqd*#cC*w~DObOpt$ThK*C(OBz3{v_n- zfQ|d#7aO|aKcUcnC_mi*zg9d3F!(yt=d1gL!l2Gjr3=e&&B-eb1Ie;x_8(x6JfiWc4qcBp`!rG81PL}en&(pB)>TS z6)A&RC}?wHp}}W_ANB-d3akLS4@&k0Q9rmZ1U$V|P}Tt1TLRS2km&}Gu3pg?bZAr@ z436~k;vrat)X`tYi~5h6-SNi&n67xpv;hgN+Gaomggq2vhy5MCT(tm znn$kdVLxVt&*?&ZlgVMX zPuG#$Lz7V=)z;R1BAQ64^0j}Ny=211|EtW~PQ5F1ZUlQ*ZX?5QqzP)*L0n)42pEXB z1=Mq0U|gt2>iMhK>z?*xEW)rtL}NrsdeNQv}rO_Z!ne%pwrz`f_!LxkZ?jo zJ;U#o5tiwD8)ZZ=u4^Hy)V{j=Y=m%OuP!va9-s<%@b=PNfFbnbca3LuqS7&gVBQ5* zy533h@2M$Gk=*ov^YR!>?22U^Q|ECDT8(I#0^lY9p+b&jkZD9Jx%&)$z|P*iP@4+K zZ+`&9Ab!P)(O$#6pk!W9NfoG-Xd`yeg*of44YJ8viTOhjA0-NP#8&zPuX_`8y_R!w zbGOn_$*>aYRx94-%Qn@CS3R85>6?TxO#OI-XcoQ{XbfY!#E?0JMGc4;c~2Jk5yH8@ zWvOv>9BuUH^9nzsO{t`8sa``D8Y|z$;2WS8m8S*h+UpiowWlT{Lh9Y0J~E>C56?KJ zgCo71Nuh_bT2p_NVi;mdYh5*}+b4#cs!no9cu+kdEALgGaD35QFb953{S@_*OO6;> zbf`{K6ugYJ2USXVEYn$VeU!S!c3bY@Ht>Y5HsKXhzkGYqf+Dm^%VJEZ(2mNhMhSrG zhTsw{5+Ja0!1`wpHrH{vTY0JW=s1EJaedgNGtbM0xm8niN=2ion-fEx1`ea0cV_kX zZxG`#E%3h+i3v6rLhOJ?ioA{Xo%VXqsC_}Ek#&MJAG_;`g&LKhl$zn%MRm{5w3i8d z7r9dB7OHa>c_eVM@>V%7c{lQk5q|)7pPn-O`@WXPK*m&Y6C;{*M2lUnxx-AU!3X2xe6Ge{Zf~Vc)7u)&}wMf$f z3?A``Za64!EG-AAbfZjpI5Q!;?fW{J;R z&=QN#3-u_M7|u!K#uPP0O%(aP6QVUSB#GHN^2`yj^_UX{bUdiX4pZgs!;{y@b*BW< zvy01ZBsR_f!gaw<%K?qx)$(`q>+vh7S2-sQ{R6OQ;bK(_nOW60eMa7l@gl|BfA_X9 zMK8r^xcF?OwE>5_mnXc8fe5I^Xz_E2y{X<<7|m-KZI(uKU%ZISHJZ!zpj7secYdXpBS@4jOk*_yKflljUO8;z1xfjt-v(o$o&={ zd!=i~Q~e71&x@&NNTfF=Y90H;WBh?2V&7<9_2#ab^#M_M1Jm>?7FL4;Ac5l7T1Lr7 z+WO}^(->xX=vFuZ!h%F!lWz5J*8wpgG!4Q&7H?<09Tb!8Z2gGAl9dO9>c1FL=>K9! z1?I>Mv?xdn2Owu>wSF+9e?^=qvHIMj=wSKq79@a+J!g^=;;mF4XG%s(YRhkkK>BG# zoTu~62SM_bfx41n%%ktef=cQ?nU3x(Z<6SZjV4(rv@WxQk#R*kDY|q3X~F)WKWtB= zvE{X_BAL1bM>gMfd|nf82MVtcWd>XaK3ogmgz$YuxXQ_-DLM_;ru`VC!;R*{>(kRx zK~N*!Kq{Ojf?S8U=>l{OR;q2$u`to!`9z)PU<=gF;1hSqfwHD8QUpv>Sf#)yVw~l1 zGJ>cY;N0g0`nAiXY#z!0pvU&%pmS}FpK{k{F;{JuaZ*UM^sO~DjRW7QhcZvKc!Wn{ zxS!5I)D$uqn0`%f#`evF4cpy@g5Y<0b-(6Zc*Vp;U~O1J#|_9JI-WzxAmG0%yzPK9qH`)%gg$u;;TqkxEZysC|O<2Yest zk*gpb}vt-s;M>)XTCxabYqK{^e}=*nbP)Nj&%BSUF65Du7NHP9H; zF(}IQa{&jjJfqTO^sq2Rg^nCamex$N3E+jd_>xtVA5Klh)mpXmT#n{^{KttDqBO-^ z0bw;%YF+>}VHPQ*rbe_Crm}M@Bl`*iZ2@2IqgdtUwCp5t6O`>K4T{d6mvmP&%q-zO z80-A6^Ky$!_&-0CiOqZuNsNB)5t^r(veaMW4DAUW0zx(YNfsWn^^mT;WHiNz#VinG z*2DV_7GCau*7NWbAXcrJNky6DnoeQ+u-WoWm4^UC*%9uWl8tn%XS3tI=qpW^!liIE zzCApEUq2?7_r0m7P(22Q9Yp?1;oC-8lGQ9S7#pP_84}7R&qFdh<;kv#a{eZbWLN95 zeo5E>7Z(>K2IUbY;+!TWuYA?gv&g3>UKfG6JWel+Yvq6J#sJ3_kg zS5ZI)#Ti$S>kK_)`Mn~eWXl-Nj#_1PqYF>>M6t^X{_xB0;>dW}u<^2(P17aGrIXYaB1wISgvJtlAaN^M|F>A zP+{W&{g5}I|A1BZxMc1B16Hm24p+Z@1pJkxC57&v&|Hob_IJ^rLs3;U;>S}XvPh{- zG4Way{j4y-CXzIxtH{bakuOmRW2@-V?Lv;DI5GA(X^cJEk+}IjJ3AddM+u^7K3JAj zd@Z1>pM6VKSwar`K|7d-Fh@XSt_)q1H?L8 zmnA=_-1rHLCNyN>%j>w6p6aPr&c2lsO4iM$9xjLSTwKrFMja|#o?8Q@VR7wR8%qiC ze~7B&E;D_E1C=-8iC zyv_`NprXXY#Tbrs?Qipu#}-wk$;4G26_Z2&ZX|fF;PZS3NKx)2$2e^e( zi7tL#Okno?B=}5Fe@cE)3r}PFWOWi`$wTBope2!pk%*rf7>06CsUvIy$jlKAYLNRv zPV$>Nt-@tCf{lWzApwYCY*CBwtlEeAGsG%b<~Rh+I#_vN84#9$(pILRzI=tDxeqw_ zP^>|0pE575GE_F)T#X;{?JFTH!DiXQtr-4_HvY}Nan-c9i~8%U(BGqQK8D9Gr)6^4k;pjVeuyzvTA^=NRRSx&?u_e=Al& zPdM~0A+GQ}HM_>=DIs~wex7DXP|{41fw-UekZgYCh+8F4dyRIaNNneD|$) zU=LQs1MOE?+%LNgg~x-okSapk>K!r*4^FuVj$L>iRh)o`a}kSY|FlE>NP?7qj{zQ| zgW0fYV2#oK6xhEq1i4XXK>19XaH%QS=oMcl`e2#_Y>h_fgOepMN-W%Gn1@FOZzOR! z$N^@gfrg+cZGTjr6IIJVW~L|S5jird8v8CpvF-|>q)U1c`s=aS!d{h8QU=9fKRj9w zDS5dgIrNq!V7<#Oas`uMa=;wRAf$vp$#w+qL&M0VD6m_JD%q z_~;3ZhV*IoZIeAY@Qtw=7aFzb>W{o-FGiBJjE_-mB`ZYfI1(}ar+(M_TL^n z9QWFW=>)zKDi~VBiJjE?Hw5IvQyTN7LPKU#1%y$Tjxt+vT_tg6Wwy3ax%MoCVnW|4 zd!Ukd&eTI05=+$?EBe;@BqABxI%WmAL1f(ff(WO97WD6JZk8F~H@$mVQh+i<83diq zwe+ZH`DTlk_(V#f15k!E$DjvvPJ%*$u?v_`*^yzWvvA`&>)Clk1s<^I2a(;2!%`B% z@;3Y|5lmla8IuIqebUmu)=TvBOP&)gtuPs=+F&aAiKFBw9?MVp6=J-UcimGOak$`=58I)y(-XjlxMQ79VOTF$}N-Dm(YkPWHEWZi*JxPBUIF&OFM5cN7*8-jGX zm9y&XYYFlRuh4Nh5IL_$>Oa&K;xt!pn5WVs6>HBPj+D1+zzF2#Xnj!QuYqWfD$5M1L8gzWmSoew;t2)AdQ_FH&h*$rL_>ZCS_~ zeslHucpIt^RvoEglJtyVR;}dX>PHuMX|Xq^JB+d%TQSt3#*md;af152EvShBrnf%5 zP4a?pK4*-_7syZShdZr(R7#2uLTq4%FAg)-)vURfvy#3e=UXR$pFg8Ue-Z1pM_&#oXQCv-M6qTWkhCdXL=~kNz;v+P zQd=GP1wU2`AJoSp^UWN7Ey?=OwF|jIIq#=?hgwxQK6*6*EF&CnZ$LyWcNo&)x9((z zN7kT$^WldSmBs`zs0*kamkDqp)lw{k9v)qWpC-HO155y5)yO7}M?Wsu*Vc0xvCo`2 za|f0%gf)ksLqD$cW}rNiKFn=*}M^Z#NdGJofQMb46cVC$M79un`#%kAEW>Ku}< zX)$`G1|?fOIJc1@TP9TXqiLPG3)iV`t3@@Pv_=lK5tMdKyqTu_UQFnIK$bfW7C0W2 zw5ZQgx1j)Zm$LlrFjcMEMEd*KcPYCh_*)CcKYQ~wFlYEe^99+*3R^6)+^t=1KTL0` zft?vTL3y(Vl9#@W=?_)B&xK@nO5z{)sqf@(&*pbxnB701QpCL@vSOez>QBe zW@Wh?&NimpUoWN#dGZ{(V_#f!8< ztsl@K33xV3Nv4>E(b!+(99WmP^uEEdbZ0{xbO>0C-A)y= z0@xA2i=^(@3YphMQfJ*TFs=u8+{%hvR>`3^Itu0V=F7fIpI@qqGXEc!Kbe2j9mndW z6!Vnfr3R;ewolon^5CSR6Mw_bE!0wqDF{y47l*49H^A(fnyp;k$^WRKh>6tdix7na z1rS5}X|TiMf?( zri)Jxu#RC%CFBznZ#-uPPXc3FK^ot`H_LYvc9Y~9O)GHLxQV5OYFxv_Y`Z{qz#azy z8rn`gkqu0S@65CZQLghHMnA=evYMItEhbdg4^T~i`7A2zHpOU>f5(P`nmIyzbj0Gj z{gO9&pz*6+SNXuL*9U&jD(v1q`rTdfT_tK(P%7cj>M_4I z8c8O4wHEcZxjL#*WLw77sruB3;4@GTc+Llxb$AD3j5d64gj~34L&KH%WTL*jbKGh+ zY%ZIdgHg0t6>@f(0{IiQ$=IZ>9yI-9gWeQ&gFA~G z&M~59cF4+tq)=Cm*9QN^_=0V&ZE+9}S(6djtk@cnHO1*?XB%l`|8hp3hO>DEpvT?7 zfiUl$)Md;R(ahif0lI=1x?_yqwpFMsRPZy{eP!II#6s+qWSKps^IdI{ZBsA`__>d~ z-_rb$F0S9?e0}f*SiPDJiKaewnlhm~dpa|9b-ww!+S{^qyt~^vymNlDxfEJG1BR#E zViX`7x;BjHvw35QX0>wq|MI&6FnT8&)-7rtSuW4Z>$DPf2u_`sAp>UgQ%~Q#cRbA> z)xuFANLq8^QAUi({7y?&L4P2;}Et!8mTS8u4?p#83J`D`FdJTtg!T{i9Mnv^h{_t=_?b2U<||vDCAtjU zo)-lN%L8{zOFlyop#iVjiNsMwL}N4rLf4vx4%=5!$2A z8~Pq-_gZ{EOm|2r{JkPTJFjg0j3}Oncl#Rtjo7La%V~SoE*aiwVb}g5vDFjt(Qd}3Pvd`Q8|KVU zN(Qlaejk}c{2s+GzZ3hF9n&2{<@a-uk>9PY6X#)-7jMadj>!GwC0T{>ek9tFiobfZ zmz$kB-9es$)THWTct{G!2@^i{P#e;iA_Y1|`q4|5wQFK} zPvRZ$O=Ol_U9@dmb~;?s5`%iU_JnIN_4K{@ zw3?b`*54IPRKnw!UYOPY%d|ll>8%k|*4|dupI^Rmemp|_>QBZ53#k%YxtLWA*jLi2 z67+CMW?XF3boeyS{81n~$13#b(QH$EuGwp)_0z2j(6kZ!IFMZ+bMB8Z=Gza43Q55U zOi$z&Q_67^ji@vGNOgz7W1sC)j*&<3;Y8p}H|&8Zldv@D{r0A~b8I*jAQd}Lp&se> zT9DZ4>}dU~FsNrYFeG$jM3~|L`=$+aGOyOl$d=MFZ$!b(nqbN;N<$SDK6uhL+E$SV z#Ybf)cD!65o2*d{_^skkHi%I0fYOkKl0gW!{40yHh>?_k3?~ScxRt~@2vK;GmCZ;N z|ANI_KG2*Wm24I0iW*Uauv?{P^@i%@Jk)%WS_k`Kn)w+2>qw?fr~ypp)R1y-4W4n$ z#k>~j()r1GO!Wlc_aV716vAZAN_olcFdP`5O$kl4?`pwvgHV!k=;Ie$4&`bf2+be5 zpBh6gQYZj+YWcyQ87l34+tl>bJo?O36(3d>07HpSCYIkw!(5_2y5vN*$p_Zylyipg z2JXK@w>7^RGmAc`69H+I%{ z>~A6>@s>d$^UET07r^ZI*{JFo_o-&{sCn?PSKpi$Vi>7QZK=j9Cm5#|MN^9w-po82 zh#pJ7y8r@>v_pQAI0f=l=Mib0en}3EUrY54?70k3`e!ws=<83r#D$dcyxaG z>K%J66wvgRB-%XQ^4r3$-k~qAUh5;rTh?Ed`*d;5tL&|o3hl3Bby^+3+kf30kuh0m zT+AVq{T3>G|9KPm8RQS$&`rE)^xZE7+)elzO*S#RCVZU@-5OF0h0ZaR$pODO2TqjR zLXPkJMQx%p|D5!oYX5})cQC&TK=Xr~veWwgzca^p^=G-J*o&1KFs7sJy2F7K@Y--t z%D5UEhD79LUXCbFaZxIH$#eTmeB(w0)6Vs~2&oYNWy8~1nXHUUfUAvw%n6##1G8tJ z0SJ6A4bw|7zqg;u`#s)-QB+&b_z;6%{-qw+zmS00MSh(X%G-nLWCTDNxum$n_H# zBGNoCCWREwP+DWb04#BZ?Js|LJ$^_Vxx<8ahgD@1(m^*a$Ax1@s}>#rjgc|Ke4&d8 z@2xgh^7cav6%P*B(;FsE4p3(+Xuv-448~AJH=hTJi;0E`A6DEqR#RcH5y%o0%LIaW1yO}D9xMju zjiR8A&KfthSt>p3_5%=^7tgnyBrl?!~d*4bi|FZFF5k zXSMBdYSH4X>#l2Nz`W?wr*+-|JsXl((^t0*L~!0cfmKT$ z$Pix+CVS@RB~vWM#if*uBt-tPaWbmKf0Td><&>pNi|G)qP|K-CvYOnZotS=q9$*Xg zQbB!sidQYQuQ}<)$a(?gWiV~9KnanPIBa!2B$8pd4MWQm&xWn?o+8 z*|31lDPfs#zAffRU1C;iKj_e8kV2Ee$Qv*#pM-jqIhCaS8)h2;5j8EI3ulxDa-aaMNrjYysYGL%rGdQYi=KC1RCf@ zNx$Zfh;|5sLg(RCI-yI{sz8Baij3kQbu30kbVD!~r8)q9PTZNjR^m(k3dw zhftQ+1rr?MbNjy?cJ36@L9QAgqKw?xCD+Gb9MoES{#FJKVvXm@qaw=7NKK? z`?EVgxW;@dJdE?mOl{W$DK6a1Fi2dtGCT^|tN?vm(@aj-_6xWxYn;uiX2blE6+x5t z=jo8W=W+DZg|4Lx=r%S{ZK`t(U%-F9p4;lFG28G1Loh%7J6YV^V1c?0P3@OAtmZp$sb^XMSn zkEQws7NZ5}fP|IEo)4wXP~p@X==_&Y&ge0wvEavbn3a)UW zfX#M@Dmp!XP{XJLjU-x6iJBA9&y8XgMG+Nf@g<9VvFbQWe6tlPWZJtx6G4c4(C7io zb<{NyP;^;w#y*M+earlO0CZsx;y^URar4cguNg%Po`%?QPZ?30z;>x=@x}2+!J`8K zNV4h(li_AcgX3JrBs7iaHknAyu7X%FHn~=C49P*zM|-t?({1f?9ix)HrgInaYbs$j zS{m%q5;1H2bXyo)NzM~KF@P|?J)J8WDJta{pv%T!rp&6I*z`9(=Z*oD6up1gE>clI?HicVFrQXZMQNj{Bk8WB7!(ZLq*Dg*9i+J-FpG91Ip5G`> zB9kzeK)>P6z0JVrKY`=IazgIHO_mNS#@fH%&)EZ+c%$SgASP6!h?bV$es6~x9e;i< zN0*HqhB_I@=7jV6f=4PNksp~miJ<5O0c9+h&v;`%DCe+^jy;%p-5Fgj+(1S7qtAbq z)EOEJc<~*9!LM(x+B#=I(?fySBqIWx9=SNox+pA%0IbtG&~qVt0kAaA&;k}3j4TaN+?|*`X>LS2c4pLel|3m` z2+P$+!6=1AiNIH8KR@{Uk%`E1VAIN%`@u}Fk6r)y#p8?S*oOZJxIgo{f6R+(0|KwV zusP29@n=4Qsy^8fZUvRak={6XiAu~Tj<5Wf&`5WmKM&dYVu|kz5*nFjA0ZzAhw1Td zmRJf~cc33#X#Y6Lh>e9uV}b%Zt@d1T{N~Sh-i{A$yzc!2gSbUUvM1gelPtZq-MBkH zEUb{90jqFk;Qj5tOVYD%{@%;A?)>Ob@;BlaV_%xny9@mCy`YPG}^QrfBy(IGSHFT;lm}qP_Mj7F1_2T6bQb%FPXdZK*AtKt6+)~ zA*~mh5xB=f4v1Ag;DU#+rpWiE-heyhDe`g>Jw3bZZSxSbu#cw5xnv;;D%H9}=4nu6 zV`i~8A4Q7pK*U}5cJ=cI&m*_O(GrPQm2wzFuvb>dAYiGC5KcXz!cyg`hBejd7E#R_Mp|wC!%p0h zlezq@{L9zk)llVvO^GLJeUI76Z8Wb;6m8y$BUikC%&!+8*5ZrERMetv3TND6SBAI& zT7!=OEoOMM%-;s3T_>Qr+c!xWPe|u~wOc%W-T!^9>?mLu0>1dV0{(TpRr2MEmfEiI z>Akply@_kaURO9xcoJntSTpa)R3l^qmM|W`zIIvP?&Kg95B2U`{_Uwoz^r?FsQi}y zm6dkU3cJ4HoE*8HOH~S=B1Vi23_pn$GCs3MQ`nq03+2=hV!_I4xfuY|T5_C{TUl{W z3zf&jKqBDIwP`elSuwYLXbTWU=`H zynFZEwxkmeymQ~sdiFWuE8?5Xh(=2eO>g9}*0k{TylM*l8Aj;bT5(3^Kiw-0^4&A; zZfN#~8xC>k6}FH}l7eQtvFn~2#k0~X5EduW`HyqRV2IglAHAz)Q2ph zfZ<|;r~;y2imgRF&NAc-1$p4q(g5{>ufjnbAf2)9?X?VJo#FiVQa^z->qr_-bh9YV z@mt(%%D*`jX?Nyw9SHRc>YjJrI`V#Lr%Xf+!~|aPqn#)+rDm_%)ZVeyK?;hC*@J%U zJ5e(+TJ~jn!86sd4yP&dy6R^tJwey005O-E!1?WoDe9stI)IWL!_h_YoVAFKB^*C< z$JP+Wn#Q^_OCy;$^1S*u?ilw1;@X>grsSnoUU5ERg)lxecXl~go3lZ(zi+)M$xLN) zoaW;o9O;Z>n#ivNp`(HTUJ^y|o(&fOJ=Q?olkV6zU?r8` zdYYRz+QIZ>M`NS^5!j@%q&gYc)9(;dV**-G3Z_#FyVE&YNnKCrahZk@5xupUwGj1Q zt%ELhe76RB_=GBf;9Xxh@w6q0dIx_BeXqWMhpXHDXh&e&2bFvEd;r zZIhLpbOu{s`MdMiUGE7bF&=If`|Z%T(&pC;cw(b`Xj3oJD>BETl;@2Q8du}o>E}1H zHFF4N624XY%DtYQ&y66-C#-|;QSbjwdzRy$T~)4>A|h&lj<(~D1k%qS%;*;;b|ObF zVu`nuKBfF3o;n&@7%Eh2XEH~*RG8klGr-@H(V*P@jA;=wS;yJ(?9;-dvzueX?Z*4A z!};!kb!QO-t+Tu)&NAE5CjW+%x3Sf^RR53U+vCz&DPAPXXe7E{>1j zT>Ti~-T4Jz@ghRiqt7BE2#fF#D}ozC&<$*K>=?ab=qxt?Z{S)#*0;bH;=ar_{9r^P z|JHiN{O+-&UYzMmWhDvyZ5tk7TeVe>_^Rc*@ljJDoAumnm)_t_b?+83WuXh~;*Z7b zc9NZsbRTkizQR^SM(y;20rUZ+m&rb~PTyBuJP!a8TPIJYxGYJr%CZ0NystO59^`ic z^{oRVfV$u=LxacZ2iAc~H0HUII?uNKI|-`ZD*e+Cf}^+xW=o|4ZeCBZk}W3nGL(#; zXQ)pP%FZSwwI*Gte>XHL9t>3n#l$w^b0{_(loUDxm^vetNC}KKc@09(LCudL8Uy(M zh+(=&h_UdMS$ousi_%aB`d>->3b*ufw!)$cG<3fmrluE}T8JIxBAk2>bHOc(9wAGc zIb)kWIfgHSeQ*m_(BZqVbKVJu#R`5}#RASJ5PX`;MnR8=KX4+b&>Ymsqq6D~7;@=K za(@VjZ(;Omh6y4Su=IooPc{mPg9EIP$Z|9trrPPTu;0!EnG?Z6kX31C*XeGo4qa4d zAZo3Hb-2TcN7bgSJ0)7C-IaIgt^B5)gf(no9_G7mPQVE@CZga5=d`ra$Z7zo+9hWe zqP9RvEuLMEJ|g+2mAv}tAP7QciD8!gwv_89Bdwxc`p^)lSx83E`86lhq6|0);nsr6 z>IeM|oz2Yl(}b_fYU6}2?J0XCWN#|j(PMb@V-JGnt~842nk9BP7U_n^6VDCW5AUoY z38V*jQ(#(Hkttys9Kdo)gbv5G4-4KJF)%!qQ0l)ejJej~eAOh`{GKBC0ogU5mZp4^ z{>rVHcgmZBSgZfmlv2|SWP(i_I^kuOt~ZyFALFNI z$=c4Gv7C^pM|KiQPE2OQuEbMN>t{@+{t!J?R!7sDIuQ(G0hVl|>)}*XU_Fe{4Y%YP znL^`4SN_@4m8{erTvXZM)>spM?_d3J&NAB)#<9|%RUSPinX6JsQ~{Vy#W#}jxQL@1 zYUdPBm3PNV5mB#8N_aMn2$k0ric;Bwxch;G5yWpJj|gRA2NUmX?JbQYQl6_ly@M#4 zlO<|rK$OgjpyhVc84mD00A_^XoSY6(5TT^<|~8xBm9b}WSnptj+85R&OWvp7WzYzYw)z& z3N;>iQa0EYZF1JQ!n=~Tx<;es+yTGbWIw1smtt{bO2({@$yG-cBZ70QVp!LG%cW5{WB~4YV)%?|LUElPkd1cT_m;D~vN5==XXHelf^O za#0*abi{qmY9@ZGRlWOG9x&jhIsCchg7bc@52NOqe;AK9gnqGv2k%6z8OEz{ndyQ{ z+2JKelwQ9UA;2#iPZe@<((!rnb798m!`?khLL4LdE(L_GzLU_Kr17WMU@^|r32mf9n7qhZl<8b!NG>>O&K0ZXTB23ATw8m1LTl+Mko#qav0cMn>VmXS z%FZdRXbEVo29q{WujAwBEtDAVNWAKqE)YCVr!=f?FehT98b~tl)OdWX@YZqofx7FN z_Fhtndom_PT&IL??1IQ@R#$10rH=0E%WpCN(>uuJn6V0XRL^;?w~<3765E&NbncA^ zEx#b)JjZa(reI}ZOr7sx?)_}%!(_LSMHpcRrUb;%pHSuGcuNxcE^X&JQ05*6`|2oE zIOUa_xV!AF;amH+AnfqdSs&MV@XjOqss#7dd!(M6f6F}WJhL>w_;f6u=o@>V2p=hA z&!Xc*r@xL=3nO}{M32zPI41wSb!9Ych5sqfCA6<`{ne|uUATg^kqu;DBaZ9)v@b<< zZ-9SE6L#yE`;)&=sCwx7M!gB<9}4qfE(=yYGHQ-fwh{U2)MCi9cOAHTjv*{i*YM5S zFMZMxQ=Fm_mOqlG1*sBA_~c1H^-Zb@TZ#ZDgVgp*T337f$mnji4a?}yx1>nV|uK5*h#(_@&1@7 zv_%U67d|awEbdixkbrSK#+0A!v^p(dQ@n%?YnQ5i{W5@w$om_QTzi%Q^!#ab;DpqW zdzXHQ?}R9V@sEHNZ-0+E2v(%KH@R4NgtQQx5P913CjaWRBuba$x;NW46mM3#k=eFbZ;Vf>im^=uI4GD<=SLaI2*zo;-{1?m!?# zhRhIA7WEhk`J(EysRhzpub_q;_$=w*`e~MVfJ>gEIC@5ZBYV!3)z4py^mJ(*PtAe-+cBsw$O2N~>w7(f;-R`|t2p z*#TZT0y(26NG7HZmv(yTrW+cW{f|Efb?)(;j=p1Pw?nY)Kl=T1#;Uh&bZrLKqEF4s zqe>sBx1hRDo{58=&8px_R*U*C^*rKWy@6&)G;9R-0Y9QxOVWg%I+Ze##b4oF-4IO% zoiKoO%<(!o!x_sKvmAyohMEHlv-$mkYvfeZRU-OR#rZPVwK!d`q!F9p4BF^%M|+%} zyP^zbs-s`6m;Iq|4HU7Y`wg@5ng4VlljSQSauiOVDY_sp-aJ(&@S7`yPnPIRsaA`W z+-?fWmBKtDt9es_@LcjNl%&`A1D2K$DKr5j4u^=8+6_oOFpu(#Am0?mbnla5KJ*IY zT_rnyRQR$q4^|0C3CRn!X)odVkTBQKI zjW@T^d~lnqG5$+AD!|s&2N#||wZ4#x;Kywo@DQ^z$+Ag~!%v-HPULu<(kXABl~Lds z%E?jxlCT}Z%+PaFhn|t_#t>n3=a$)|+rBuOjWmSNME@JR`;a3)Y2%>u}3_ zdAar^G$@$={e<|0H0mg^CfY^epWsFH$*R$;w!rT5a&>7bL2pn?%`pvRa;$^-IDMwhC=q#O zV)gaisI7px?2cnJxxXc_{t^fbAOh|av}%HWv|ATy+8)EqQBt&6-|^BeWH|*S`KSlq zEhC-wO_RoO)KyQAFi7%&7G=Sh*66Pg&99$&kozqt8KPN-e#z9zN2>{3N|E%JYZ-Rw zW>E>ZPDA$KBDMf4I`%XYkSfJyY8MQ<8zSvvp*sfCO+LA0KnYgJJBkzny9D`g8j0p& z?H(A#ZJV~n*8 zI0PvB94#R*VP!6PF(7#_-#V=wlk%$&kf=UzG{E(f2e1_Eg(G&7#nc;#+qO zHAy|IfwvzsDfnTN8>h7g*FDU%UOeRTeW%rNA_QankM}BMJD-3%JacfSU&Zv2Qfa9r zrS{wpsVVc9@4eX)yVw8^1pfUUSFVnlJjU9s872>OddvR@r+m` zC1fP?O}7c3@DH+OUid&ifO@}wKkB4v*E@)Mcm0qInUY?m^->=ha;C266ugS|2B440 zdgWH1>C!e_>ZPAP!vSaE3PGIP2k^S0B1#9{$?V+5BpH?E#FCNa0Gg;c%p$$D_yMhSZ~B+%B3$K)sM1#cW`?istD1DK)bZeFn0mOo;F-VU(9 zu}&}1pn^sYYjUQg$y<((B8?$fqmXpo+zTpuBwN_yMdj2>c7UNQ1^5|XxDBhc5mWQT z6}vnNZLS-j`fNewirWaLAzY3>@JJ31fP_5`=Fo!D!{Bc(6Ff(%@H{`5C#}(};no<5 z^J?~Lf>3g>dM=tj%m@@4%VZQxyo~P;DLG~n;IHV;!QpT(tT`U|bd6N{8 zWcWZk<-K+e3A$<)5eilGT+)&RT``!lWW)-+Ty?Y0G=R9e4E-d9dmt}j?6V7nPS)~a zjfL*4IR5KpvD%XAnraiKjsZ(`ey-LZ8t>GTCqy*J5a<=YWiidP?kgOvV);Me50q*U z<2rZ5YawlB|3aC#<}s4hFsN1iPqpvKOw6I86c=)yI1~kjA$ZdO6+G%LBk7JVo z9JF*c0MGYkkOpB}o%5(WtS?;J!+FD#CgX%<1Ld7TfZyxH*89#w4+EHCtDE~`seiD| z{{B&XDQwLEUNa59b7{0CBof>Q$<4tBPJVY9J?N4}X3;#If>ba4w#z;GS`vXYQ>6yEyL>qUEGSI)fnw>#d6>JT57arcD!fbCxz00up)s_?aP7>^DzL6LgpF zei+c0({zbf5hr7@cV>jL_6E-Dq$iT-0IjFv0lK#;vnZ&syg+7%R2~PJ^TMmVIzJ{X zpx?`MWjqJWSmR?c#Wcvg(JJopRDUWV0$pmGy*Aj4_!AS~#9a|FY7y7lB>gm|(avlB zc#j(XC~!l5pCsSj{=hQiJnlgm{dqaMfx*PK=1Am6UhG zzty0ok}K}?bPMGA{GoN78&P^tCn;|qAmOKdTa8)6wo;ARK@nw2+e_ASnO==BD|=@? zy=4cV-Nu{zZ7}EUM(=pntX}WB?xHThO>~ZZS=b+;h5syox+9$sS0dJ_fqz~gaIPte z^A_nf`b-yqtBKrTY`VL{ZEu?6YtxMTur z)!J8)bz{G8JQ}8dTyq*nsPZWrfVrd2Xh(!wI^_r#+`mzOIHb>PdR*lkHFmbGNB9yP zxkM?#O=q%arC!hvcVZTWYx==SaJ*h&_jc`0mn0DMT z<=A3EpUp@tZrA7s4&m#X?$TmJ5bZa0sMC=Wgh@9atNQYV*)TKQbpsqY0hR%0DyROQ z7VTY?K(-yO>rmL1K6bxH{DE+skX+AyXA!tNNS@$2%fAnLd~}?WR(QAPUjnanA{5)* zkxJ_(XmbBo63#&YXK%AZpk{K|%!3GieexKXinx`)E&Q#{p@D<^LTg8HXGFv=^1` z8Ltnh7OKf=tl#5bz@mFf0xAgKh4Ds2nLb^zT0BLAX1|z&Mg+kbrZc^W#&p*oyw|4loH8lKG5Kh zbR!evMZ`tv2HkwW%6}e!U_PRLKMMJfxlWK`4eM4!6;Rr@P5j{`5crkWVO1H>$`^9&ze^&e4vQRiqY7)h9VvgOX?Y7%*? z)-%SP7|qw-8UFFplPGdGms)_1C4-*m$Kcu6rwY4L_gdCyCC=+^`7##w z)D#|ixj93NM&=ZMV=}9;{;BPO4qADYoF}6Amvp^cGnFBy_ZM;Ot+l##j|PVx=N z;^~YyG+XugC9EvPzHG0){=mnvpRyO&fC z2Q z*>r$uFZHz=sk|CoNp1G@l4?#i)7M0Ti8uy>A`W*~5S_grAH_IS0E$^qfIVlosAxIx zs{Q)47=TZ$JGw|x=8eTEE{Y}U(~zv9TvljqfQbyCFUTgp94lEzz?>lA^?f+{wAri2 z>2G^9R(9X4@@og82;Eva2)tpCxq7pe${yIU+Wuv@QfomQ4^62YmQs{_m>p0{Y@NF6 z2&N=NHdERMI)nU8#`VlEoIo3^ZYyNgQaI-n`;{&gdC^R}WG1UmL6(TJ!@AM8$pXz{ z-$n?a4ng#DI_$B*sDH1REhvk79Tyy#Bnhe?rI~XqI@w0~PM;B^F{z6&0!uwbc=|HQ zn^4=6bXQ)i)uEbn(Rs;^VR@IFV@FF#8k}NJVO*6ttzT50{@vb~?+RIn|0QyZBn^m( z4BMWyAYM=MFtXP81(_nvL;$KBiI^OQRYJnc6Y>kQ5Pd zwbL%@op7&I#D9r+LAB-Mhk5ga9+~yv#=B))_ zZlfN}!jwh^DItqg8uj=Co^ZB8`?9@qlXn90wo0%%l8Dq&d9 z2uWBE=?MzrXB;CXV0U7?kX;YKY1qgf@*oRKit)BC`m#RVtWQ|q^kRL#07RSRq|5PJ zmjH%OF4T?#Ht}i%YG|@7AMKvil4s0or1ItWV4Uy&qIa)>HKEELMqBV{yGCh!OZ^Fvc-6$>4d zT&xs_M%s}dP6Z={0}$6`+V0)6mF2ctC#)ZFAb44{dqcYElfU;H0wP8dXmq;vEGYP_VwSgqlMVp zAzRA6kt_yogjH5}xJqx5vrDDt>z&jE(Yfu#qF-yEulb_AQR+KE+98wf%HctkGJ?;x zD!^BR23LS+K(j%eY0tL!7v@h!M6rZ7btfadv!`;j|0a%FbEPPX(=>GWA(KNDrFbjf zNFR_0h+-K4*8R-KQe2v4EF&r#OrBo}@H9|;xz*v<$ry)g6CC-?1>=!sopn<#k*n-_ z%Iqd5)EDto!-X!;0|unp^chR^E;{7O#ZxIBscBK!31~(lHLfyee1rOo(Z*E%X$FJi z&pM()>Bn_#x4Tdwm4`J?nX~CvCxn2W{mo(jH63URxV@d0N~j6vJh(H{U8o4m%l~4Q ze;Y!pYa%I*Vmo$CK|xp5W9C*$-6q{34nYyaU8g}kpI1Bay92Y55)2Ox0^>Q3;p&4w z)}J2FUt7bYkbC~hjReKw3tp8iuL6PuPrf_O(KhYhfhw#?_v7|(W!oOeMefJ0<|lBj-ABXmNX}4*X&yV z7($283Z)_zLGEF;Ja0O`QP*ut$YA^+l1~Grj#S>gT`v&86FtMF->YPgHQ(RIZ5?K( zbDyxB>8b`jaFbSt=WBJn{HWkL>rHOHKogq`K-G#-#yFX2jkQdX=Ku`NUNegwDp+5V z#6AgBX)AkExZT0c(8CI9K|wBsDrQfF_wNYRuwRR1TI(a^j%WNO=PLVW zY35F4vU&SFT*9(bbI+V6@u4oh%u-Mr{fDXx;M89}&kC(;$R4#eM<%m=3JXTW-t^K2 zxKa6l+PAr)%f3@VcSd$-hlv+DFyB4CMU%Y=30iyYU$&#H-zJ#70$$gX8$fFZgPgRC z2$VI%@BDl~J8)Q+NFBT%(Yj1#k$!3Ru(t_{Eg(6NKWrpe$v+A#|+SzLc9f2u6$U|^1Z0wng;h4 zP8Ga+z%t5NQk9Hj__rNJAcCGb=J9Fw(nYa-d5P{ zvFkco`tW*^v`5S`uPJjzoGGK6&RFzL4wDs#zRi?;2a4sOdj~_CrEqEjU?6uYd1J5s z)OupFAvteA3WFiVM-EARzsTvZmDFKE59hGbJMswH6rPeSIL_M%Y1D_Z9IPp{GBYC4 z;Hu(waqJ2#mLt?f)em%1_>JZsnm)`bx6hN>4^lxX?Dj1jyXVulQj>@=%V6QhVVlq5 z_8W#@T{00hrcA8_33Rp-*g}rHnpCvoyx&vB~_?R*j?Yi_BDCU!?E2$`8m+7Y`;4Xkg&4Alh#~+qPWOu-+Nt=K z0sKy>#{V=zI*ksk`)Vw__($sN0cH#(Yq3&~N zX4TLh_bWQJI9XtaXs664T*cPRg~LHG3$Y-<)Dx!!>%vgYJ|8JY?W<(uLM%-7<0KIQx zl2Zzo`xqLF^2>WI-b7_4tYxw|$7q)8z+x+3$$Ln`R9ly~CJCecDveIw0aVV`ktuQ0 zrSX-AGHjfoC}DEN2qyxA<>#7T(j~Iw#GEEsIJ8O-Y1wq-beys|hlCM5Xoa^4{de(myW2}CdaF2YqT?LPA}XeMjR z-wmI#QO&DjZIWj|dKCS`0$35#({o!+`kUK6X$+ZEDBTHll><$VjF-`!4`l}?m6zMsWqT;-9ivCUflh(cDyW0!Ri+D({Y-cO*y7 zgwDDV4H5ZgmGr>`X)1funI|R#a=^HMuPO_oj-atiVT5$(Fk??0&)!1T3@6}t-?_ko zh@@p&rr61AxNYmw)lTKJv;Dp5rX)`9(`RRmCVq0OwP(y04z~l9;VN_c@?EuSK}Iac zEzTwjKPKZbblbkC0?0u4K!n>AJbH1`Bzcbc>P0HqJqesLM-20#q_mm5>EkBB3%lrC zb^N-CFgxNItnB)i`RP{QgUoFZHpNxr4rc7p?@RyLsa85`o8mg}_wU@K?sPis_Oy62 zCdGNrvMdet&+($|~Yn4mbW~1V9*&3#XxMTa&`49IGee zSvJ`tW6xQoI5$;EW95&VXzW{bxHj=Rafi?ofsU&8n0g>U-igRDWUiZcQ0`J84rKH! zf$AOOqSWH0$AX#|pj_{i%P{rnMf0a*^G-5GO>-J<9$2?{whpY|mu_3YfuV3@ZUp&C zBN;4nTFef_2*7J=94Kcgfir6L*a*UV{5%&oyXw!V9!D*f6pffJL zDAVgfPN8WvX#&4W8pesngM%`iSVD$jB*sS9KaUH+1W?#mF+G-o=L&JrU0=L(AbF&=W_kS?VTgeF zoKTAl9*xigiiP1yK0%Vdf!W0o-Tzme{1I4J0Km@fzWU2l``Cc|0KC4O_%D3pgBg~_ zqY;VvQ=B1_=ZhBeP^Pb?Mx+=1CcEE5>>LadfOtCkl(p2j{{X@^hE+In{ziH; z1=Ej4)`0c4;?YW_@X5d+gP6vf&o{dj-KJ+@p-%M1ESr=`zQy4o-{UZJ)u-D!FYt{5 znAm>?BYwU$U<^S2`wP%E{cDY*mXQrf5p z#%GWnZa|Q(k9uI;l*$cmtlVvj5YbEd(Z+!wD*!X0%@| z1`2cO@83LmfvXK#Hh(U@H<%jO!!7xu2TFhfmLGikxTu=p!1}AzOVT@L#-0@cU4FKu zCj)3-8Sw|c5$T98OYSvH+_EWk!t6^cy2-Mjdi2Xmc+;N@8nlLZC*iOJksa0K^-`Jkdcb$_&Z`k_)zz@p~qIf6E zI4?pPI+3ww#S7>16Wa?jaZ$3!#`85mGWcQbA!jJt&3-^r?FxsA8mhJ6JfoJLw8_60 ze0xIVu)NnT!1~P!YQ-Z&yfoG2miXfNGn8ogR6u9^TyPI1U%$>$}T3 z!DqtT(R~`VY8_4 z>~vP-UF>XPr{CHwQ!o;MH2uo&U@A7i za}J>^yAfKPq>o%tPyMC#l(JKDi^I45z=(WpOjGBLCq;w_;7joxp4e6UWv|(U18#gZ|#xQEkk zUxxTYALpiuZ7nAcVRx^7ZIg#kEDQ40Wh9j7_e(C~vSpxIaK?sMY?Z0NiDE9?B|kCP zA6GlE&H0HOp9Gt&pWAX>va;OGLnw-j{?kn^<4lV>*`Pu>g08m}pK-fme_CcMp7$$} zqNDT0@$crI5}@8MNP~sw|BkWIGX9~lVrGQD|3p{#(OHoIwhNX6bru7BtWm#-7uN0V z+t%TB#p^3<<1a{7SX;rv;@%X@RNJ~&6`!hN|?i(;JCJWWR}s01r;5Dl>O3z7rO6;BnJzp@JAs~oyNTr67ZcQ|dMIc;aJSIBsj6y@%_9-0K0rC4{awVwP zk`yHR@Q=Iq+*qU>(aP5eu6$OPk3h&o5}9kJR5ScaVIeTop)qQJUC2tJ1y`ITN}Ml) z59%nuhy=G$WATe;W{gO|3I&r~#0qLjl7_QGV~nr^>nVMgcySg8II(^!iD5mxJBUxD z(+F?`RZxmdh}L=%VzbZ?l(`QsJsfe@f-6A98UWwH_USa^f*2tQ%pw&^w9rf@WpeDV zq2kmdWM?qWo2?FFn)_(0Ac_O9J2h~)P$L3l{(g(RP%N(2s%!55^_wkHp>fM6#SmQ{ z)&q-rd>0E*YfUW^HC4F3=#+X?O{-4$Lj+%7a}+y66>U*J9ibu~c{~mh=@4~U&VIR` z!JG{Bq8@n0cB$bpnqVb0p1A*fZY7S~N8J^B~xXirS*yrNUgw62fX-gOySzXr{{ebqvYPq>NK z)qR5)YI$y)@ULDE<@f6NXC^NKnb#43+5t3Xf*YPyw|yaHqv7A$7~xsNmyY|VW#p>O z!r?uKvdQeCL0%O_MeMt*a6bf zj|y^jG1e(3Urs1P>bYM(?RY!~778no4DtshnqG9R_DEZMd>1aAm%LpbK+Y(Dk_Kqa zC=tEH?3^_gpL$Pn&QqO_W-be?i-If4n0O<-gaZQh8?G-i+rv=Z`ap;zENaW`?2$ zW&^{&eZ&540RWARChU(DYb4Wq=PGhjH^EV$hTl*hUVw>`O2O)aP__)P1gd&9qmiS+ z{3QS4M*DnwF{~A(zw|q75Q`?(834#HF~u{l8f_R{+pzw7JwYW$R#M53 zYA$Q(-c?9vxsfh=6SXppPL@R)Ba)~MKKd|%u06C&z=3xUY!l&;&sGXm>87NxRGyp| zrw?Dg#^cUK%F@Vp(s>uKH(of2Hq@l>{{V?VcE3Rch(HMarituZ5UPB7&iVyf2sLEu z$&UF{HKOmlC24T_H*^#99+H5VK%*g@t~0TQ9X2s@@^@L(oTG+Sp3}7S8y3!F$@{Xw zmwAvDYnuB-{BJ{nokZ04;-I^G5G9;P-DHwC7mY}#IkY>W!&7DRJsxDQiDLXi??X_!h2;y{TiVrv54iLIK>+oCi9KAj552F=ANi=P&;O3Ee(Gmm%vi6qlSEGuMBB6PJ(Y z1&yN5=;P$|;BYedcYruw&_I$80&Hj-)A``^BQ$2b{j~vs={m-;cx!GD>hSN-I2rsk zfJ!DNb& z&QsOmU5FOOyP<;XayX59>HvR=OoMX|s7p3VU~?gDtCB*a;UJEJ3lO$8k$?up4zM{C zO%fI{0TKfDpizqA0&_EorX&#X_aU&L_@G9@VbWWUm5ywp+aGl)KwNQ!dY*9m;CRQ0 z{Ep)6Kt3&*fS>nvYrQGvG7!#!QmtvLm+C_xV7t{jVJOMvi0%EXy&*2mzP3)nyAJKThWIIlEM&<3YhUaq!lj7VqWlF5fcAnY0hxDIDX z2xReRM0AM`q;Z?L_96n1qEdt(bp5TDngZJ?J7mGUa4UmHNJ4)b=FpT#DTfrQP#=YI zv=(9gr-kUhHPkH)UX8dVp&@A6j36NFrVPj{R$Q{(a-^GC?hV9vBUNQbr7YOwibqnG z)cee4l>zQVn5I>C^|+E#$!Oqj@-svMtZcOO_g+RI!ZV+t+XAu{k>htKy?8m^g+MR= zeEa^7!^vS00fm2i^GrZ9>uH=v8J{A0*+8L7HBp&JcGOy~JHlN}E^6*uUUGxm7>p4x zIRRxQaoP*cg_m9SA`qm)K}4LP2H6I%H_KUtZlH7N&JFE>j2X2`9SfrjdBaJolI$Y-rtra`+AB)JK?$- z-Ihs^v3l~|iyAMayj}zn=FQ5K?xwV^x6IQpPB$iwRsP@CWcO=Zw^UUf<5u^eQHPLS zP1z#bewKgVFq3{I+7Qv@j3r5&+>aIr0uE_}T^6PrGp#~%4IMNh%y;bzw=eHB7MLn6 z)Fx21*7LhsONgV-EDBgORm(ko9!;|#j?}MmxoeRGVrB9|t@fx@pnUE{=MyLOT4&5ts_z=Wr)Zey=B^wR81BpLg!KpN5%d&<^cp#HE|sJqmUwgU)< zyyIR@>r{K)HcS^^z`%rLFDg2}pLAQL+SCNlX_WK4vT2L(&v&|?;B4GacqoN}`TZ3N z6-#e+VbtG5nQ%Ye{Qynk=+Puph45$+Wqp6}XcDS8cr=N!Hn(d`03x=27R_&Lac$gGswt@T=$HazRg{A0mU9U9HHt;5+5HTu*u`lk z-+|u&%$o})?>xO>^P+ZuV{4QCwetxehzWpAPC6q;3M1l?YHWX1-A+cT!?ztw9oJMjNGb+bUq}3^_8uM?;78}XAlQC^iDjZ%2(F9 zN2k*Uh+7l2(GHFqOhe`+)p3LBE=qqoO&E(F_+fy8_p>#usyVf`fxYH(NYC@d>6bKP zV$O!0bN1aYVWMkj(%$RL+5ykr8Kt&a(qe(Rr<&RxHtxSN-Sva9@yrAPHyGY zSqBD-)F183DR{it34^%PVVch29$S2>hmC6NN$0=*=g0(+VxD-P#21ODZZdzKh-*yw zLjJfrPVNwd)#-L}8Kmmq9v5C+CiNS$>7tHOl1HkCmsK}S1#Sm7T;0oOFVkRvnJ ze<0irKN}h5p5CXy$Ifqq&fgsh;0U59(l~q^kqC|Eorg~ZjbZ*HBG^k}^ws=pj{Go& z&kWsn{_K!SF|w|p7o!L#5usW^-%D^9!we-jWRX?yFA|VZkt>le`51r&q&tzt+>REK z_gK(<6veWvX40vi;cy!@sO#k#=))L?f7C;O9*2p)Q-cVUjDnRG4H+>7Di*Pj|82(RiK=dU<63o<`xvP8>`D;N4eh-rnQ*fMd z&yNrvVDB^^+rc80B`@t*=R8+`7+jh~4RA(q!W{h}2}V*Zlqm8; zmb^aQk$c{BFXoG^%GimhM@pu7IRTs7H0ScM9$0@%S@f3`{6|*h4S00OTOD8=er6-6Gy88(JIjo8N99q z?}a%QshTzUAk7q)d8$OsD0h6-W-xqVBi><|Hj9L}z2=6BdS37&UWSf{7ZdjKyDtmj z%|QtrBt?Ec0?0wh@-oxr=l^N%UDMu-Kc!)<-MP%D4H6Hv4u?_OxWABQ&ab@-?d6DAh*CNm`Vw;WF1Hc#M zi39-k?kNI92}Q3ae~)&os43_rm02^D?USe42($58Zt^5Gc)gQ?@v-J zE2f9l#79;TgH?I3fI4C#>b)eV@iH#zeoJ0d{b>FqO4UmBaFYM3n(tI*ZGU%cSN6A( zcpF9B2|H&8>rP36W4+IJOs&0Qi#?wclm+1A*x9g>B3D~Nf9d==^J)OQU9UDOJ+0+X zzkwWF>ACTFfd;!I#YNDVy!nK* z^MdY^dgJuS)>9;4p}@y1-1`X3=9wN4;WJEW1vJK#;9fuUlkSb)=hT~=<^WD!PT-5p z1>^@5d-2K}3)G9;lP>=N&F%Flmm%vi6qo!cGZg|dIg=3+DVIDcGYkbNprW};mrW@% zCV!$EMA6si%PLx&ONI-D2uTg>p0-9-#^QN)4{Nm81k zqK>YvDcS&jfhZ9sLl1sejikC!C;Hl($WTg5N}2N}g&{fvgi)e-8VvrT ziWY4%l+9>2AWTub?b|wxy57cI;rp^3_Y;!eIK;v+=2g$v9jMnC(M%vvPqdNmI!M7} zs-9gOaAb@ltrg))yvIS2Z!0&%hOhz1oe4pXi2qhF2h?LL(Ll03pM1Pjk z6J`9>_cNRr4%ZqDTe9#%_OYRf?>FC?!S?-lL=PKI z0`P%*P{I_vGJ~EGqjb2S>&xa1srzdaFIO{*o_G(CrQiSQ$n-xP3b*>N3iBXQ&2cRU zr)XaH+42&wL^6^|ff6o>p_8rEu78{o!Nx-2M^^yyx~psei@XVgyxO;6w4Ro>v4mPN zHdBt}!yE{vodsdse}c~%Y#o8#nLoE1hHihdSj34)@l84G#x*J0I?U_5zrDK$g$xQy zfR;X6rvetBb=9ut{b8%^!~E>U&psgMZoRDR(C5v5>nz(o1{tJ_1;tNCp+HUqCVwvq z@Ew&TTz|Nt{joYjo-!y4{xu*)KqVI-^mLbZzMOi6zP@H8PP8=mwB1a_clPIMuJd@T z^*6^=VpEqP>oOF##49tU1%JzK;~*5>`z!7eq?!W854IDv9c3}nB;G|`I5thKCMLB( zRsVi?*lm-}Vl*4>g4ubFpxwRyny-%N)Dx{h>#i79qiCX;T)O1 zwMToxDK9JZ%NdFS{Dv7tdVGloTZ7XaHLYJ?{BjfVjXJ7kQgK6xD}Nr{eW(#~@MFDB z?&{<Fa$Z|}3} z%Sb4sydpB^k;+>4gMUlRDY8?vdWh+SGv8KZ(zmUu$mJT0TzsB+Rzf1;6VTlnXb5e||uJ{S1N=#%AT2peQ)aXvHL2JvZm@dL5N9 zj!_bv7DA$}J)dXw%<=)g{Gltpe*izt)O(llVKNlAA~Q1^1%CtN9-GyIMlTT8Dri;( zJyudG*QcQQ!q@zcf@V9Z89Guktecvlb#I{8rw?s+3aJA@+yDW8M_(^;9dL4gIP~zU zU+SoSDQtj%_IkUx^=glD2amJ?57`Be<=cTh!ik{`C%XP{qW^v_A@xVnHk@^L+L+sL zc-NbW1bA)lbAKb*8xD7TiP`pt!w>IE%-n&1Hb4-+)s19t1gYa{fn@^(dDok3pUPw@ zt@@MdxO7OqKdBTR!lAO`M=o0TN3L71mk*VRw{w=nam(Rb6>$o4aF}DPZ1np%OdgFc zoB4D&p0$pYi-nclekFRZrF7H^vTm)gNURk{#jkm2T}%<|_^rQ7D0;3?seM(>i>ox3 zHrZtR6Ya~&ap&%%K%~?xx>m-4b(A1+@=xhq-y?e!1kt@r5sD^sA4wBS;2Or z{A(~(Yuq+S|OCn3J)hlx>a6+|vSYL;g1U0GGw zV%43RW~{kSA$AmQG73 zh?hkXDt@Q-2B#^ZNNR;5iCZ&)`s(qP^Ujbo0ts%lO>k?RsY+q_6hK9PefN_UHM5D^ zsGUA)RZ*3@J+EpcmwS$loV6@3<-J*Qi=%zbs!Fed4rnqFIx!LfS*77!H)c0k z*8Ed!mS)O8O|uBBk%Sz7Kx@^%$GNQ$tN?Jeyf~_qcv)xybh8IAtV0q56Xl+2;Hn#$ zE!4!8zDhPCcC~>!}F984`lJ8zB zSApv=Gz9NhrF=m{13@6)Rn3-FNH#Hrn(VnW0j)awW~d6J6ksEwp-zpbfFM`Fv1bBz zEA&nQz@@lIp1jxZcHVz_t~i&h$eLxbAO< zV?@FzH;H#!mA%g85#~Su8-)-)AJ7d;KI|aA$H5&L&y=!%Kl)HNdJa+Y51i9WKvRy+ z*l~FSo`xd=AOMVBy-CLFv&;*V1UT!3zxt66f8;q1TqlV~p7@0e4gj%c5(+m@w8U};xX6X4%SwlXAv*!j5Z^+fBRw8lY#o3FjL}=tC zN%*LpjQ`<(bOc)&44_Cb+gnW7Q-yVWeE6UWCMlT3Rx$5yM2*9-vZ)t`WQwS(l`i!l z^gvjUb87f$krl(TcnbN=>gZl0bb0#-Djvea@zBue(paSBd8vlEF_Dg3_zpdvnqh8H zeKLgZ8)ZLM^)tytBOedF2!Nl`heHqYmgw82lQ&#{sJv1vAuEWyC`?}lEkE?)fIJ&o z=oOSvo8B169uQXQ)3WE_v{M(2<8!f2`Ek#cb?Jy-TPTMr5 z&yFpW(-v!jVI5c>a@&6tW5FcGo-c>-9vfhH0Y=AKABz&a2C6K~Cd|i2xQP@L`QF}< ziuwLl!+9xsQ0V^|0{_gIPYng%BOsOU1)!mS?}agOI+!>ay!sNKY5#MHG; z=3YvigCa;DNU+|lsv&zdh3SkkQ2=nxO+dG*>e8?d;exz0+Lt@QAny1BXQD|3S!wA`_N{&r z>{yMNNhQ-q&cQ=o8yOFuUKCnQNKMcc`<@@rBQ^tbt-PLB$CG19n779h+Z`-*@P+d1 z;RO1CId=Risz~gs$mq%H_}n7--&|#h52*tJZeq9d@2`G1JTG99pby6WCkttRWE}W# zE&s@aC?eScs|b>)PbaKiatGc_FE$qQhpWlbnSW7LWvgo))jMBU_{CSJ4j5em)xYV} zQ~&Hs@;4VhUtj(*d(t7nOCNQ9^3d?>AR{yJvjEWbC&wwByz22OEb+I||l#=3K^OUamB?5}e2aso6r^~qGQ`S_Y`A<3# zg;L|mzvwLfIz=OlnV3RSK=vG0oi9-(K1gU!rc!yvj|p zha`~oFW(N#T9;8nGZdG*OEcDg5bjd0KPsUd# ze|$W<_!E?&BK*ZYsE8@XxYlh~_~!Zg9aF6xC_yoi!H94abMAH+-_)jm3xJG?@SkN` z)BxVuP7cs6{P1kZEtsxRn5jwswhK(+dvrA&5)s~*s&q@!%#D<0nrBIA(N-i(%xnnC z>($)N1Bi1spJCTd^pM&8VOEKTBaUTwVH>99W)ZH_`P{wf`MQ?4UFGDhO0Rjfv2c-> zR>jIf2Jnmsz#)W#!CI7mRdc((Hl2n#%kot=bq12Qfpy?Wn2@-cg;|)z#1w8~TDjg9 zU^HAU-5?kXo4J46f?4!UZ$YrMiJ|lG`rqtve9%_8L!Ct zCUs^s%33f+H0BU=T}V8{ab&3gPjF3IPIZx(#QH_z@NDMBwHwZVjP=3V{?yySNDDRB zk(O?58(rI-xo+nx=vWw?+28{U7(_tomv3|uUMJYWEa!S^c9!dTVRUlm8n;<)Lv@|? zI)1Am>Tqd?ouh_4643YQXMFMd`P-xM$??_c(dFr{C+F|SryY_Ks-8>Gw|VBm761Wz z7!Av%nWnQl=VxetJF6KBE5}{HRaZ5#FnFWO8}~Lh*>&aLKVyMw%;9~KRwcBFYXNN% zCvD;wRrl^PkhS9*8ahb|QlSEe_o#IhjjpcKDvTb` zCSomZAWKDHe+S%;)=9e1a7tJ(Oi>>44}lYoMP1i}Zao-wU{DdFB-(;Vg|pyzpIP4c zvd4!?A_~QSgO@eAtE>c5_UuaGET@g@cH^#t48$EqGKxJmwDFTIL@X|=BHIO~vsVY0 z$Spt0+zLdovUP<7T@Y7MP=JVgoflSS$5IHHh^gjKIln|_n?O0BiC`eQIf~LI_0$=b zOUO0aW+AS^sm@&2#1Hw*0YmkX4n0#y!j&I&vsIXXud3_Zrmp812Y%!-YFl^QCFCI^ zqX;!iUwO5Po?syYN!kRtetpw$$B3t>*G<_6y6+K zhcli4929>j<6Y_H@+#4l?#WODti+LeMDF{xsSljQDLI__=Gs?uj`{|Q6VLsPz27n? z(w{khac@p!kI9K$^7R1o=8$d-#Zqi^gQ}s@Y36FARzSRR&0f001&pfrk#v`=MfY0f z3p1Nyf-oifsXbc(vG;IKG00rvN%hR0>>v?bxWAgk3hu~ir=Wdrstyw`Pme6r@E+}k zYwn?4>e3x97F|&EN2psGymmu_6WYVeCK=U#ha)^EW^3)nwqAP11St`{G(Cx+NKo|@ zdhRb-JSGP`*=w1EwetCfb$LU0jHFcic~cesg0zo31jk58`Tf~LAce*(K1`o`m%eXJ zsplVi(f^TBFLMhR55b0~dAQeN0wE*>d9=ywkz|W0Vq(YSHrcLCbyesr$rpRs2K6U@ z!JYc^$wY}6A`j5SDRBV2rxW1kk@DXkDPIx)n+(_X`1UT)&kiXejKxbIMN~j&@5V`+ z9n)1&z@7ZceuTUMrld(9L6|F~f3yplqKNEX&>Ge?#qim2*DKAy)PM6U+TY!6f!rKR z-H*r6aqD8qf0o>HvAgzvOk@w-^S(bt-5+_&llQ5A^pI@_s1Fr+#Gf1KyMKlWj%f7g z|HAJ7xd$RRgcI>62-H0k4M!1=!}dq3fD3|{YH}grY*(v)0XiYopqEiYGZdF!QZqt- z+j84B5PjEIuy52%1mi}6d~}k!O{SAcZ9O%~cqkIGIF?A4q^!~3cX6S3F)d57oawYL zCJX|L-No5+017*I4t9PUy`PRQt~hZ*6#CS6rgKM7NALjgkU7)D`Q~2BvFBE4yk4$X z;|Udk`vo4@)!myh!ERpMn-@3Lc;dN#QLO&nt3U5d|FvAosFda(jKH{Q&a@3ZXZ&OO zdGzaa^!JE>U)UkW4~_&DPP`g@`+=PV`ae4uu`qBpdeq9{egMysD5M}j{hhjWo*nPb1rJ`ehm4Q_jwycs)2>oX-de}6A2*71RI0`v8Rz4ImsuVK) z06?f8kUMZ?V{9Xe0|hw&n}vAbI|Uej(s`{`>jnqNWxEVqf4M=Q5}8$LwcUp=k0DOH zdR7Wxgj`fn7F!Qh(uXoBz$5=4G%>>H>0qu^kH!@G@b6 za6d$uc|Ehk)}>5LtIExDnMX-&SSpi`X}&I(s6!ryR!*Q>?%1C+e zIA#!0Vk#;%nM@%<^M9sShSs%OLt3&zFQB8#3<2^Pv2dqm>8~mQQ4y^SImn{iS27)L z@M~B z@=oK2`X2H;(#20?kWm-4{-+&=?wGaObG^Py((JBg_AJR)rkzB8RaAq{JZ++2pS2Cx z>prn|(lgn?q{XYRR-ji=Rfzn6pTJa<4Kmd`lb1oIf<34HK~e?g#ZDd4xv^Odmj;aG z?07A0_Hv^^zGD+p4619HCi`WQf+k^4ydabFbSZ~al0oK=Qpu=NAXyC9g7tm>bZrbc zOc&2cBcr;C7(0`HG%~%`@qlY2uBVLPpjJlhV`MtMW5$sKr-Joc}h(@$jLNeRvb0-*R>66hl>?1)%w`k8MT4&d+s9FqHB=`Dq1>P&UGQ7E7 zE-fW03#MT6rf_wmo2^&Dh`_No@J}Nyzrz9h=$c{<>j&L`B%jLH{{N7#bwd?beR?|w z?UE4E$-VBbRQYp6%Y5V$dH~UqLzy->nQ7q$>V>S!v^TA)Q0WlVrcRKWOt!W=H_ynt zY)CE)pb}F%d6Vi=R4sdqtE@F^mJXfoptpN4QseDV_BAeZLdjvh!ZtCx4czEiMtFrv*3wlOWCE9M8?Mb?Ss8+M>Y4v`D(S!(ijvt z&*}pm*YNH_a+gTyIrbR`hITWm0%zSshK<0q`$9e=+$e;Qy6k z>i<&cak!`+{6pd3kDi<&LM&tm=jy`|=gj@Eh#vH>l=ey>0+(R8F!(%lFTZ}Of8dDu|ktK7EiSsa9an_{@RM?}F&)eX^jc!UM1#65vb1_+B5OY?HVWsC*_ z&P}+UHB(%NF}Dn3X*m*U$~AB$+ttqQLf(`kelg5>_T4WSK<<$?XG{P!wolt}QWvbJ z>}FdGIqR*}<4lIv-{seru8wOw1b{!=$yJZFaO$mFA^?8=~uzK7#I# z8p7S-wk|i!-;u;1piwUx&+_wOiX)}IIMX6)MwT1bluNN`xVqe|+H`1=>E>*eXW>53 z=<9r>P6k;$w+0v+KwrB3saV#8+owyTWv5jw^j8?^hwLZ?Owz|xjZUaqIgquUd1=7z zZr!0g@mhw^VCGIoS3B~5B&Vx#Y&pxpF!K^Lr}+|>#b=kf{A+!)oziVea9c3?*}~0{ zAthvAxqo#(iE{H7E4S>X3;l4Er3Hv@S?b8t*1e>jP_Gyx!@AZG8&KU=$`A}rL;ppUlt1r5IRX(ywFyGOz zfAuC2z@v=RGUN8NU6lDnF``5mRjcR@dqq2C?aDH}NCald*w({=6Zw2y(;&6&%i0M# z{-~Ut1!jKgJ~S{lRtMYyC45548q%33eN@7Hbf=|@F)-Thy(zO6m|%PDJ$=AaeA7+9 zkraf|`$l67Xr}*v7mo+x@ikrLOK`n4OaPTJDGC5&6o&}T-;RBqrK$`0e`o^z}o z5H*yukiLQA=@YdAD)*pjJ!a+%<1MM`tJ;&uc}=a~og5BmRP6m6&Zw=mcc0zrB0&&A zx^7ziJD;wtyd1F6cBEBk`bm77m(AQG-v;}`-y@q@>)C(*1HM^T_BmoV)WPS1Dcj~3k{+maMh(hn)^jdpThj3ka-!A@k zL7h$Ql`t@g60QY~(#+e!i-X3Sy%(4yLTcW)fd)j%z`B7!XQSlvXYqba6{Y@PvZ~ID zxX#OBRN&i>A@9V4dQ(A(3blXLBU!~&h2!3S47%L}BLc=z7qshd#CGb*;*>L-Ele_ z$6O1Qo*q-KL&8+#O##S}lvc{+WXb~RfMafVRhHVjy2PvU)2vFiW?AR3D9x*^NH=9( z)NV{(*lTPrHxsV>tfd8%aXn$!bUzUaDS=D?w*i6-+gqSHVYV?YlB}|$<83xnZeG^Q zu9{%OHjC@b4k&l^9q4~|hYrF;!WfB^a~2-KU&i%bpnIEFw#gZ5G_}$|-}SD@ zlXh7SZM)CWD_nBFM)kQAtzBpqteZ>w`oJjcn#6^D8?UO;-4%aDxr0F14mk!Kn>X96 z%3L$|%VIERv5z#`BqbP{Cpe+g&N|H~l3PU@fbHs5286$go%hC!{WUR}M&N4z9IB?M zznDnOI`*OKesm_b^_^&%oZH|ougN2e3P`)dU7!vNK&^m8!sW!Ts8+m1Hh}zBXWQxs zlLy_*$^$~9s4su%Cug)bcEH$>D|L7V!$PK1BH9xNSD0d%e~IP?w725yn{r|2C7gzs zqmb)~gfwH)*Rb)%Skt96pqfC)Zo;|hsCSH_6eWRF%_szHr2O}>({fp^Qm0+9!hk>6 z_RVg-0&tl(BandBJtUyJ7031zVOrbuFf~Ytn`!ySjh=^!dYB8Nw8y`k)T!3f6?G;F#b~H($Pg9hEDHq~dfuIAK~GlWdm>4=JHk z^_R)l&zGYZ;2P==eqOMk)`3#{`4B%2Td{ zHueT5J8hEUB&08~sb3nNXH#KW$EsKs(|>2%(mj9e*7MA<577N_+aU!*>)mdhnd0b# zwo^tK3E6;}ZZ2o<|N3Ee`~J)K>ly6lfC1mxi(>pK6|70NVZVNzHGxR8h2h-Ysy=St zoheLi$KD{KPe^?r1OW*Je+s<~ zkkCI_LnNF{N+X72#H39qF*Jh<-d4C_{CS%3v7SAl^4g4@%L!dw^eFQv1 z>El&dgVuIq?KIhMjz1(y)G1Q(s%dDON? zi*LmQTPj?){Vu8rDSmrU zO8A$X&FaJ{zd4QgNrg-t0|0-xUA@yR)p@f>)3n*?*5$AUa-Mn8i8l)gJio$$HwcnY zcf9O~$5?*WamXQLfpzvp^8CRrv9ZGy&Pk|S^q(pzjXsZ@-3lNY@dC4fdVqEu<&0<> z;F-KSJPNvM(N;A3b%2nHof-CHlYx%ikiY2sKVtBrcPi=Vz~CQrx5QIWpZppS5ygY< z*8m~>tGlAy4iG#1XMmD~>$CqDF#0D4$q8ewf`q(aR0aHFGD$w<#R9sEhj&*KNzXe+gC`8I^)jxr7xc$+Ijls?~a+H<1!b z2U=&@bjCB5e7=d8h~~!G+~lizS4LK3f(Ygv1QZ`xhY{}`pNe%8T}Bd`v2GS1hbslv zsTw>VP42;F+oe5gQ?`xmc~jyPV@e#|GbKLtroijFB=52K0> zq6*A+TGjhnMHkRIsc!lm_T%tO3Ic&NVm7--L^#d}Qy#t#ChW9bbgZ1Qt&c-zAt7cU$=Zj*!zAhGbv15T(e@p$E zT;>*=@-&Gp$T<)O{q`ItE#U}q*agC{ysfO=G5Fh5WSg7aX3^)Tc5B;fm&EY6ongQs zH^HktVpm1ez1PigZq{irT;7J^NS6EsQe9~7FxLsfQyS(vCRB1}n}I5Yw2T2peQ1UL zW7V!~&jK2zHPtPMzN=&CqcSH_f5@2cps%6+I~N(96u6McJra!CVN+vOXuVZoe`i$~ zEo=kGf(;&R*oKf!1dnI30i2`8cEY~g++&EM5L)ab^Z~2`%@JJYz%!J30WSX`Sc0Er zTK_|^1oa>Y?o1AB4+69<7iIM~2H$R_YZU+K{~Mu@qJ<6-N^^<`#irLPf6bXeD2{dr z#lCe~>=}e&=Qu*ai|9dwE{nFnJezW36&x;&G7rF3=R~P&2wObBwL3^>bv(PVx`k-2 zi5f%np!xP}-yHFsH^Q^tZg1v5H-Kui&*V=biO7B(oJvllq|f4%TZLg2(0dejIOPtf ze1KD&X>sabHPmN&W4i{Pe>lm)lkbyYy9&gGsj$W9;0$BHvf13L^+;e!!7{AQ>zmW^jPF#OckSPLx2q; zfU7ZE3?el+f1QDyr+EWv79K8@gr;6D7iu)O5k|AVrhze2??AhBH%Do5Uv1pH!Mzwa zF$|gxV$k)}0;bxfxg$f^vu4E`S_)Y)3pD{j@AVC#&4}NlCk_1 zboT*+8qmX-J{6HYz)m9zfd#dX8DDF8PO2&p5!Gy+i8SLkD3~v|V}_p#*3GA8~w2ft;P0mJRP8aM)Zx zV8`D;;172Nfn9qCfxTlCAIK+RG7F(T>q0&_ey+nncPtFpqQHh7Ac~5q#NA?GHxmBm z)zUpQH|PGBoqE5u=ENKU&ma(2?Gx^IctMv>e~LrZ2p9QKTyP5~sT~d^HNGOd8&6ET zS|;D2<|y$DefcW8PlHTqVdL7EmfDgr1q=3`~o9|n^V}Io!ht_w%tx;_c*Na zU0i@eW|q&xoC8%wct02%GV;k?lC%fsi$0#5c6C6vffwXRA#x0XIbcJ)2Z9*&A7kf+ ze=g5Qg|*5pKgCXzp9;{WmWNmtX07i>`KdliP$hVxKE8OIgd&B@`0;Oy;OUwuI{KCx z-{s5a%d6)_AXwPy19TJ;9?y@I*tPC(eDI-r95ry zq6WxgmhETZv+#^gXE!mT#fVUzHC{j2Xxz-!)m>>%Nm#1!pN8-oFVJB*so~grgBQP_ zOn#Vc&}BY%fj^WpB!L_%B%eH2MYAeLS9;@rXUYV??8X1hwEyo}ump%psk{Dz8>*56 z5~RqJfr}eo6d5JFC!kcQ@xlHF50i!;mr+AA6qj#sGZz6flc69em#=U$kbi;2v3aZ4 z&~sKF*FRodtuOw#U?3ND7|P+1kcLiLUi|)%IvMo;=ujf!$l2>rrQ-z=JPYUD#V;4s z=tRf51!ANd6Cv?@-w7EdRD?#sByVM_1+X)pU&HRBX#t5v;9N3BVwkOG{hAcLylR@d zQ8S-ki)b{#2f1SpmeND>JAY8ur*2ZM1a%Mir#7A4R$`;=ce^5&nHk%xnD2h-OIdZT z?J^^Cz0T(q2fGz{MrNW%W6?ooPQcdC2tf(s(@ZwqW z6{@2w1}a%|;REzjqJWP2HFjEMp-H~5{S-eD2?R5?Cek5Or2{99rY^*38HzhLk7Jni%8KgHViS$hukS z)SxWUH`Uw}6aAJ@++E!yXSGSOhKqo7?D|CtFjn2Kxe^VTeKy%H*VT6sQt ze8?A(H&szq$!#GwP12=X*|iH84Ma(A= zpGDD?)(cE2c;G7Slo(n=-BVZU>7yT1M`m!9S4o%G6@fe+P=EKgt*jneLT515Kocte z2+voT@m)ET7`4ehFA6iK@9GjZNE7IRHwUwL-6fq_O-TgWgQgQK-6Irr%7uvrBYkUA ztOdWUQbfmL*V({I)m&V_re8a}+vWq@CI)zBvwUXLbXxS8oT0Y>vB&W^vJ!TT#kT3* zEL_!nmCBY3+JBBvslAt1pTHzEn%f?FwEJkN(xUo1lN~#a)uFX(Y84=#b0xp(IA$0d zb4`YCTA4O7J>crYFulm!j5xgNu%!&DpWCFv*{22-T!1ZLyU$7-K-Pkv_Zo2=HpaD8 ztM0p2%g#lN;5hZ~))U==S>V7E$QxfwbMgibL*njj3%T~r5Wm4d#Qyib}8Ak*- z#}~E~27ls2wWjD+!;l-ntE%p>r;-pUZDJzZtWe`~jP5a@0@QvP>zbokte+HJrPwSm z`8+UZ;cl`B1|1eQm?B^k0sGGhQw>SMWO+Pjq^XqIzekVm>LxwQk0L>WC>ZmjM>Cj!kuZp-1O}-G zkE5B7paX=$XicTbqtL1AxPCdX5jNEKc%eqBP7|)ss%9|*T?trrXI4KY5wWdxs?}># zD}O2MyW7Nez0N?!ygPh})tsiGF_$?~lG}dB7?wk6%v)(6qCDf(ENj~5HgxJrK5&$6 zWS8WXopF}oC}MUVV;qzKr9fK0XWudgwCVTYY@*ZVS`pCFPAVr!mur0K&I<* z_%}nVW@f^DCGWJa`eMY{7eE17>v%eQCEMWybMs>T@`tPS=H<`t->etv3KNusetaZ^ zkEnlymmClh%r9cTK`mSkgNfWRBOVRU)WZQ)qiCL^XOXQE2>>!{Pq)mkNJR*d5Ch`YQVv;@aG|NiFZ_ivX98UxnBhkVf; zcJkcS7OFnIU$1nm?v33KWhqVdhhzK++Y9|i1`GqsQ#wB~J?VqZ8kBqJdyN+pKREeb zqplon={ugaM|=d1k@@X#TpWyNE9gbZ1?gDg`L{0LBRu%(PhE?j?L1Dvp{N7%B6WM_ zdBT0UO?LV_n>nY1k;^D#)4+?}7jIwNPjq+Yy(;>toXh$*RbLfImr+AA6qk#5GZz6h zmtmDNDVNN6Gn0P=!hQ3)mQl}{e7XEEI=LMEF(RNGj!2}O9vmsGqGUe$^aV#V=>HI5 z#Egy}>QVEEOAXH~x)}XB!oe`yHc-=+fkq+&@)W@cGv)2Owbj*PnSPBcdv$Lgog%MK zReP2Kg@pi-_4v%%= z46|n4^Ro-|)|OS8$5mS7=-v4*zkT$xI%^R^5d^ZCRy{7PUt(s<32~wH0$%yvrL9)W zI-q&!d#bxIp?sXrZRKC4iSJv4pI;{wqE>~G_mdhB438ftN{rDnzLBi$8$uNPQ^+tH zERE9=bZvjj=y`@f9GP@ekrC3*x&%W{mP>HxwokZ0Lh{BX>5bPqiL=bke7nd)9Ktir zlVZLAM_p&Z#*HJ3n?Z-7p2i*IO$k;AbKSKxE8^KR;L{9AE!*G=gK%q*Ky;0hVKD@- zq}muh48Iz(PVs*tew_=;Mu)!#wt*-=I%)ATo?CxMij`fKPXVF>VbKd+*C84an7R%f z6<+%v2Y>+jkPTH`KUU6Twwz|^y&cL6j07>w@TADAIL*`ib`K(W(szAd8HyC_D;;+o znC0SMnB~Dzn9?4CbF^dc$_k9eS{# zZ2W(AGvOim@pxW4#=mL$2N(zw*hY7;!NvQtcR!yD(t$!ktT8$)njoz8%ZSE2OEibk z0t41lMjV?OeB9SDe**V=5QIF8AoQ~Y5wx2yF$N9&yTU)O!w}m-k)1tF7ld*^F+T=h z{2phkorEEvyfj;c(F=d*ENsAjUIYv8ifn(@*?4lB*s_d+ncFnkNsuk4JkKqFSE!o1 z6~xRQk6V&-ac4mv2hrUO1CXHHyqIAmhR}*G!@RXeV;E!f|A1mRVw@eDVtn{_a7;H< zYL3}PlR{jr5%;FymH}zNk!Dkk?xd9lkWjNdO)fKg0J&fW2vq-la%nr|KynFQDbs)3 ze5kI+&?E;ON#;)T+X)9W3=x$(CcOM;!XSB-=@QBXDE=KpqQM86ypTuqk+Yp>cWI%I zo$Wp*Lwi0mPy@2RIMoA0sDsF0iaRVmm)a0Fu4ON?eU3OUt(JM;P2KnnxM{G4!U!vV zNDbxUH{=0rf(M|{^?>mf$Dp)zCtZJIHc;*8;)*|^8tG?lev#YZK*-+dsJ;9)F@Xw6 zv8rhZ-g{<(MokLqxv)+&rLZAU%!U9~+w(N_2p6?pu~*vaYG!ZZRaRZai^bI}uHwNR zpCgIY0aVlo`=RTpj#LSUATD1_NJKD%rR*`1tt%Tsg2$l&_SLr$V594xYu$hR>kVyn zC-tZVssTKHf8*bR+Ze_AKxtJ{O^W(#uC^1N8( zi3bAiBA~Q+*v+n6d(=ARhwpP%c?Q4d&WR zAi-S|=V0@mqZ2?}E0fq5NEmd8XMpga@Wfd%rtY$T=>Kv`m&0c+e*wIAN|*eQnar@e z`2<>H!%5i$`P86BES&E=Y?R3-xFvA+K-Lt=e4+F2}`}hA!lg?cLz3?fT!UXQtIbjdz<*ZQ~1G(awRm&Jir9`O{9Q^`U>%^gR zPBEu)_W^McE&uY4^#CukH$1>=qx%QQ>;t^+JzSe#d056k#l?VY9s~X9sN5JKdqA#= z+tz1E{Wn;~l^+UaZe(+GmsgE54goQjfrtVV1Tr!(Gn1hpDSuj9Z`(K)e$TJaqYYFn zucG)c581{kGRS1Jw!6Th9TbYK#6oO2BgvEP{`x(<=xW+c;wdn@m={Z;DDqst^N}2w5mzjjFN4pcX*w38DqHNVg z^O)e#ws^EJW`ET<6r*IJe;@VFJKH`@*J)Cw_5mXp+}_@qG0ZpPU*|ucP3C96oe_`= z2gJ(3k;F1sY|cLaii0Jz{~Tb%R2)2;UYmeNF!d98ckeG{w;Lmf!FSks?`DQ4471jXA~llB>csrEQ&FMh)pVE@UQl1 zk!DZ&xqtd;K90qx7>6MFF7=opCJ+a_V+P`ngvM_@SwjlaGLSZe@RBmNqs&)2AGi(& zNG6B}_q66xv!ifTlbJ7=M7C00U?oGO9c(m3E@%Y`u2F?W%34 zt*FDA5Tt~#cG*DSEE4Dj^L&H8j9c-vDEXo=(DUu7GKPS6*}Z*NfjPmc?u(>wpCrp= zk(OoM+n9|xxEVN^D27C&x`}gxnNE!MhyuUIvIJ1KxRN(&)Wq*`F;{3Vb*O-x9@j)jibM7~WE4Uuio^k< zl)5sh{cw(19iuVT>1J;#ldJIVsYt_e1%J`Cv@dLQ*MSEOi*5b|Gybq^y4;Vsvp#(9 z2BKYYLT3^R4)43$hC|308=V`O#4yQA+i1cXt`7#nitX;nJ`a_4Az+dNZuasC+Mcs& zYV#l1(ak%&YOfS0%5#3U}74aFf_eZhv$z z2-biOG%r(Yo6Mr%(zd2gt8|kVi5sgm2t4$I0UB!8yO8IIM*|P1dcqcF?U#FA{+?;y zp3dL}h`ZOMGY9%6=rQ|?efyiZsw3FiUn>bZb1@3ndP*CR9qSGv38&7x?B0HW)*aK6D+a`Mvo=CSxR- z==;pp#X{$kCP_|o&sdW5bU|1tHxhLmgc3Y(Q;*+4g)zjq_R2ocw~RaLImR zXh}rG5VVKf@KBUR3RG?^-z719ze6D9WBxQS{T+|`I1$@(ufQ!%A(QiDP3f`CL8|CB*aV# zb}8)J6X{SKp;-0STDQ6uf`7HaTaT%U+NrT%v)DKm_JA3pQK4LIck87U(=uS`tl%0! zk=!=73F62EFl<=feS{1R(&m_H z8tJ=me|>&+vu73{0slj0+2udLFaW$u4=2={5{z_r8(zEA)=?kzZ8d|r%2&kvcM3a! zr4}0i+0^nBUE6j=ULMPEAY6(?{k%M}&?*#D`A0&KjN=29H!|yO&t41blEUMgEgtBG zrLJt2!8zWN&zMBAnAjh=m*AJV4XnRBbetNRz!{e>$l zU8%Z^$Ria23aa9kP3!ntm#LrE#BCMM$~~piFcZyxk^`Sg&8*=T&3`^7^h)lwyXrIqq+G#@D?W~aN4LYEe6(iAp6d5O&F zEra_zu(BO!Z9%Qwk+bc+B(+WV#dcGRlzMQ1>HS~PY=6+{QroTGLu}9>(qP*L*6-V8 zU-1*y@qz#v%eRL?$W&wkspqC{I2>g?gRR|%wE9|BNwxE3yPyDF>{XQKrF)hjy9C)o zT_I|UapKy>Km&_d9kN;{c;?n|kvkF5HxRDdg(-k1?mL1dgZ!p`VoyT&#$iiLqp+}wE5D1G6b{~lVLf76yp)XVt|DGc|Ux* zJ}@ulD8kaeSvmaI2~aZ{z4%Wj-apWAiU@HWpD75eag=k2oK<3rzpDYj2!M4!RB<&r zzrCtC!o7QMPQ25htp5cgnUZLiQH?Vy0X3K5zyT?L)mdG4n>HA}&#&Na_ExKs5CSfC zk!4QXt!q2S+b-Ij90E$s2?h^vv;F(M`T!#$ldo;(>>?2e>6P?6@28Bt&mQ((oqV@G zd3nx=7o(U{?yavqpGGK(sTZ;cvDo+4nfGzF67yiz=IOrJZ|4gdMzeR&;F;>aofAB( z>Kpxk;i8={f?1Nv|2OjA3*EmGg-9BqUoZmU`teH1P;=(LuYWjMu223rA+R~@5igYc zU?{|)mu^o!{*Jv2`hV~+^5e+6Rim~Z;}NulcX9Ib2{s4A!vjWiaKMAZX1zSWj0>H z!f6qNh(!#R2w^FzmuLVeDXrSOz=9cp&xT-`L3lU_QNJ5VCjrjBzS?q&kGO3fTW>eIYP`k*qps^0;$B1D6 z01XZF)_eM=4u&Qv2ipju@Gj+VEb~H^xYt`*`M( z==qeEeVMj-Wk70wH;IAm^PC2=ez4rA$PA#@mVjoTrlM)C_eG&Q z6jpN|W^4QVOLuP)BkM{Cv)Zn%1{ z_i+(mJbRm$H%>_-J~3A8x^6YK;6ixW;>->wM>P*!L$}hD>7B> zV42BFzu8wzFyJ*mHAs7+X3JcC3wo^ zLtV+EfiX@PB8LKy2S?mJI5CPN>8V1nRVakGc4FgBpTC_1@?jjP-us-P28j42DHa+$`xjRWuXw$$Oazj#@T79 z+iE9aN(%jUNKMqYTDM!#ZmLW_YmJsqN~7h&R8XaUfC6kq)rB(5h0g-SeA_&S0at?A zxw^4erx2I=vbO3{{kwV7?M9R%^E+_lC=x7cMK;Z0K%nA@o+0@?_hQC&wFi1icy$c$g#)bHti zQ8JOk%o6qROBL8T*CCt6qbsL$uS1WHQo7H?Y*}8+8P@E7!^^TLa!`^~znwWIe*apx zH~K!z?~4f`2mLAkX)lJw1WVs^J@?w4Z-P<=8uYX#;TVJl<{Iq=k%lh)ea&`Io z{fCYT)$qq))Gsx}NSUTEt2m3z@PjYA7 zp6WLVkx$&H$nSShk)26xzSgq**TXa2Bg3l%L#?C=EG6ZjiBPcklJ7;!l~_Rwiqt~U z#xPfZu*!+awbs9one)mgiM8tKv6e%tdTI~Mp@C9-{OL9TyUD2&r|S)~~?t zInrWC#AqLxzjlaOK!Sou9N0<9QGe}Nf=1|&_P{gLlNvHL5t${uuyC!79vYteOzw;`_6EvFPm|k+g%hz zUm|Kqk;CC{elr{=Ts$tq#osUfdUx^uQu2jnI*#JS-NPb@7Ku!m)M9bBS^OH@n57EZ zeBBk@Zn=t*G`NOG7+CT1l81jmRXKVX|27E`I$jTx>x8^CDzIr;BGhYPXPa3eRG3d-2Of z==Ky&_m)PJz3G@~m@nZW3q?Zv%QvQM^M|jf!TZZ(I$Uy=N&$1(fuDau6}my;WRPOf zFofo6eRD<7)X`)vZnu1>bN_sQDbmT;E2S8h>0-qtQ`~8OWmo$Y3Tc|rPbda1&IEmtDc!QD1@3$3%#&0H4CNCjW% z+ikYro1tfIUR-sXxru-74UJC%*aHVNWcNkhY|Z8!ng>j=;+$!vXvbN(K}}SEdWcYh z9+TaVVlNB_Zw5FXbsRq+x+nQE}=purrlbsDG=iPr*E(L$6yt4{La5Sh8KHF{Q zTKn2GhPFl;ZSeSnaL0#3cBb7{8*1C5#Kyg$XV)0l^?(XiHN9jV>;o*Z&f2^x*(>Oc z#RR=PWwGF}m4s>GXyxM)^vmjOXOKc#Q#Z324;+_+Uqso+};#XYh2s*V=sPOw)Q;LBuTP(HRWG z`!V~hMT2cUyM`rWKJ5CPXC}#XI?S}m+Uzga2;8bPJ|n`q(QC5}18T&jY4%mwn9u91 zDDEK+favMe5@@Y3=4Y$?fKz2Tc-YxXq$v}rK6{0IZKr>FM0C0>HE-As#Fbc`T5Khf zh((EG+Yr=Ul_83gU;PNp4NKafFc31AvO)>Kqr(9l_EV zjUpPeWHUsFxAK8=k?1Ts7$-{jd?I;BET1ACo^nz6#O4gWH~Bm%5=^S-m_P1D6L<`T zlO3|dOkICqdImYbpI5g&aIhzj?pm=_h`H{umX`bQS?!U(vjX2#@X(cOe0O~{J^T28 zBc5|Gk(F8iV57+&%dG8c;{kXiHA~}oP75m=%vJ&@CDwY5eGg?I57LLDl>ro=Nwzlm z-deqPfI`kjXS&)Nq_f!W)Z;slB)kSu!S>H@LI;ww>)FIM+zbZTHh3*=}E$ z1N_XaA;9s~$e)vdzN>1}2S4gK4PH3BqsaCq^ghg(`^it%DxO0ZLIhzK8fpSwD<9Eo zUu}Q7i;W(?_8S7Ql~X2)ty2(Qks32gzYux86{&(LIfJSSBMVi0_E5z(K^0pWKKL;M zVPCH$Bq^}XR1;3I=R4pO2L<^x($MrcJT+7hvd)Y?#I z-cZ*r+Zd7%!RF;7L8xD7o+6=Hq#aBgZ6O|q!N>UuIK*CQq!v~;6lCi)bfR|l%?-D1zEL~-JAB=TEmnifQ*iY*&7;4I7wivy^2xW`PacQ^#SkoU>MVgljp*G2kd{t zX2kfI^1=!14c(IAvXiLbwD({R8>?iVoxRC@Tr%rXOlWqLRe|m7tqh){&qM%^ej3}A zyCIEPSCq8sXu=Zi0PJ@M#E92`+Z@H4pzsO z6nM^yf+Y8bjvDfPro;g!g1@Z0B5Qy9%l3UX+`B7xO{IE?y|7~Tad)>&!=vMyW!9@G zW~l|$g#2!nwp@ph>guvN#lpUO_J?l^92zV)m>4hKp3p&}0qCbROa-LSL!damw&xE= zw-ryS{%ixKyZkh8!GGs0UwdG}Mal+rU!n_TMe_nm(qUk)NbXy*tlHCc1i^m=OA;|Z z$hL-H^m)Ji`nhSdw(;B&6YxZ(-cnC!EvL8u;j3H1>5jhr=6h!fTx0QmjeOnEQ0qd_pV2Eapj5%i zc@%VjyXBZB$uL23u(Fm4WC?%O7g0hwZ4ezPrx1YM1TN_B=NrGE!dq9C7|uwQX${g_ z)I&^Q<*#*RJ4)jS(Wq6{(g@_6%29bkqS_1+T2Oh7r;d$>^Boz_xNE1~m68v3H?)+pGznHpcz)S3wZOV1g%w^x6@bVN^q>8-U$ zEHtEQ9%65=Z+xx`R5s>wbkKOEKQyJsn<5sDg!GSrvVZBa!e5<)FXA-u=DGuGQ6>5f zH5E*Tn2`KUWtCG^)_B4>K7&Clmj`7A0XzBE7P4AfY?s__3xz;;)UYLS=yJ_g`K_r5Zl2qj!!hCAq8 z-Fb;#0zd}}9fHQ3!4-mU?&_B>1&)~UF*7_cg@Us!e zA`Q+3t|PVjE~y69*LdusFp$oV{@4{0h`-7FhDwzi&}l`#z?gEYsiCh|qpdxnwyFBNO4{|AH#RK(RzmR~2koHUv z0{84F_~>CLyn&}Ij1MjrN9-T^L#LX0$@Ett7YXMH>Wr>DzZtdOU!3}aG6ao|EK|;^ z&}2mL+S87p63Blz<*_3)W&P4GEkDE$AR!e$zzw)gJZ^x}Gu$B313n4yD+}*xMR%OM zaSPla$bE&l#QyL;fjEx#LLBm^*CC!Vt<{?$4k-M1h6Sw1gJyU4qG@N|@V^f6FTO;5 z7DK)#z#(@RI{pDfA9;yBI)msX8}mb#a=~XOQ?4kSQ+8?e0%Z$+7G;k=8J>bOpE0%% z&d1xeA}=|Ee1hC49t#X2VLUu22mbfreE9!7O0pxI|C%J>KFOUY0Nl~4Qi|Yj z+iY+Dm#DuPrU4Hz36whc@bRkuH>3yGkC)B0In?z((}5p|mr;!~6qn$iGZvS*`~fO| z)mhzhn??|S*I&USnu*W%$;V@xsWX%5WZJ}UX-0$KBvBzy07dnG-`%@I0*oCyiQ@Lb zI#}+O-QRC_Zx2&%?NRT|?AP1b^^Ig+OyYnC-tEd0JR(udy--F(#=^VJypR5SJy(94 zr-$OOn_uxT^54NgeIq{38TG4rYae`nXy;eTPg4Bd;?FB9f3FLjG}_)!0?O^>( z=AUlg&VIX{eV#Eej(W@s(GE#M8hYt&_VE+-GLXOZC=qew9nGwrCxZya!uv4$b4HzG zwC`ZVdk)3{i9uh;D4`;>{;hSp&`p~xi@e$FblEQIq}6E8^-b7c%$Oq7yw0qD`->_H zf6Q1ue)ZSJ>zhFIR9}UXDDDBQB<9TFJ~to(zU`qdo55?YZ$#9$4BQfdcf}Zqm9ook zH;A{QewMU}y=v>EY*urjd|g|K@zh);tsRe>Je@P;Z`_hjOOcmbd!-wE-owj^_jQ%( z>`=S;ReCV*@N1pDvZB1S<1%l58@HyjqtR(qW@O~!fVwLc5*bNwG6ZMEnY&~?MV1Ii z!1yJ&5*BMi75}kRwfRmDv9iE8xSG67yP2E38547y1Kde7!IJ(4Xv=Dmm&@u#pdw^2$IY{c?5R= z`^ri!>>#jyTj5?q?*%gK%_R48H#cwXR6`2fZ_5hNKbD3r>c373Aa89axh4dr?R2}T zGAljiMPa37<+Oc9*AAxH!@TZW#X76NXYhC6S6=3Ap0pLN6Vh*gD;%+-#74(PMN-&j zwxz_24uVkmXfuxxU6|P(?CUMO38e29xGSrC-5G8ikm;N3i06K!T35Ui`(`D+Sa^L2*PDxi?>>dPj8;m0v zz_FJpD?U!ovnpNu!}F!KmM)P!!k2byr1erwftMB?V}_@2CFC>TGs%H=;tEEEXfvF zK$c0mohpKVToDCZQ;$+Ni}raZ{A80;hCw`~PzVym{qH5u%ykKEl8A@#VT<`Xx4{kV zpKCbmusW1!mlxFK5p{V;2gUQa(dA(2`5e9J&d^J(Pnz83;d;^2rudBDVG-2VVXgcF zLno;*yD@ywn@9K^L{BhaU^@{$QWDWmP_C@;zf3`Y)g{MiBS(nxcKj8n$=6VL@FUOt zz+eGf)5+MtP(pkk*mb4 z-znF7k~;V(Tur#W0VBYDg`q3f5&2qJ&9C|i0I@yQ(|n+z&O|tMlT_W@e%$IePr%+KylRK3x}^%;efS1F^(Jele{Zw=k$5u!7P?$_AC*nm zQq@gq951gdcBfzlMq(+^3=1!kE({0nY!nV38IMyU1JRitx0E9#;q58gZn3p&dy+I& z`&zAx$O1)%?yT;npOldW5lGm3(1jO*<8LdgeBc_rXLQRS%ac9iMXAL(?Khz5&~IWu zRiOPQB(Z2we}3bE)Jd7_lt#31oZ*@i95KL=2*xHKLuaIoACQ0_{G3Z~=7+I+4v%Pf z&bqTGv3IBHO|inhr>x#!uQ@5*Re|aWSXBVHx#vLe*xq+NsWC)zSa9bSLt&Ne(`zrfg8<@UiWS=nJd&URLWcsls*E|Ti=}Eq_f}TWSkNK>+PmA5Y^+BM>Q__QQalbk_ zg-1=GMEYY$j{;j&CnXTq$p9xA38KGId;_|SV*Yx3lNhArC}hB!iEjh8?Bkp4XU~Tqy!Vjh<|L@?_KC?Wl ze>2GNIwW4uB-bIJ#4HH95I)1VMnc+M_%4SE1po z_jx&gx0eU4Y3(OAb{IHq8I0Xs`hojXf3C0rm%3x)!wn-2d!N0%z9Uy!z}UYmaQ1|NkGHty=Qc&pagqq+4HNb7gmi}FAJQ-@v<`^BYlS$alp~?H#au>K)!Og zC+PwU*yM6;O|?iw<%_~wS7(%yh!3t|xIVy5-+M!5T*`7iM2`IibkE= z56J2^$tpDk%k=Vl6cQF*NZseXnwQ3mogYnO17rOWXX|eyi!KN@dDZOqMO%?Ps*^#0 z2SCCVUg)B7z?>339ChCQfE98ee=s;a-j-eiA}J^aCm6Rh!YB#tRP^S3oG&v;se7xw z>Y8|?s=BM6rz+c;hT8jhu9||-em=+4f zslO=<@Q{c&9EX8(9o%^g$qyc3lA9xi9$nzl&o-Zd7HWaR%`+NSTa7!zf2XB};&V4w zS;-?Nob^OdqDB@M6uS7(rzd^hfx=mcS`f*PNa+RFJ|wY2)hkVU97wOmeR}jl8&J=O zxgN(-Y6YpAe@Z7&oNJ)&i`@M4qrYUkwxvsDrZFC%YkJsfGh{t!qq?DA zJyXudT~M;_hFP7=wWu};iK6x&p9hm*Y>L5N#CJcF8@2fwJQaZ`I5bB{gx<$5He*x(Q$tM`F}PD z1aOhzb_h)Wxt2ae_Ba1=ZT%A-a!^926IuTN%%JWamr;!~6ahGwfj9#b1Ti@{IFq3uD1XgaTW{mG5`NFG(4!61 zT;3@?^r0=9qQ$|Ub~ivDwnZSzOe{o}Qe8IPf8QCBk|kNOv)wGtc}OHv)NnZ8%r`R> zjqW2F{dD#H&DEQ0$)bcLn(OH1E)qN@al#`bVm#%NFwHQg> za85YWaHS;SELeN(Obb?RySi*9>%6%Z@#uX9n-TCjV!hEV2e-)EaBcb})7_`F_Cbv9)9!8UBL68LOgmN}5k&Jr z?AtY0tE#lio?zlZ(@PiwU&Q)(jBnfgump4*lYa!z_!>Y1I|`tkuM)RYpxxdk!P_1u z{)MY`fG~3;>aYvJ)Yz;lcg=azDnn!}r~Y8aIynZ@Mf4dcEt40=@l{pIFuY z`hS$Sdp|ez19vzn&5N$KXgQ24k<8=Dy>N}}n--0oB1j>^!KDcY>p>dSEUMwb$>6)6 zd}3#k2nm#n7LGZjO%`ngghjA0B*AzYy%#SNeb^{UA-^|OS7&xn+RW`J=s<~z15R0y zE~Z_?IwTtvxX~4T_&lw*In42+pTgLo>dH00a;`bbA6-y=1GOTR-%a1Aj&OIbB;FQs^hrfi!V=4`p9r{SuAcpsA25#x@V+ zTxOtjs7ai^0^oU74kJ~)%S%|GDrfa%hOEA~8G6b?(;W^Vhg`s9lBPH)!^|{c{)*Dl zIz9MQW$We{5;WsRi$f|+k{~J2@gJPgbNL#&CfDnn<0meN9F^i+;m&nmU4JNe4Z?ZV zrv6u3`Nv!9uctm@cYvb++x?K1!`y`)KtckS`h~S;!Uq*yssyRM^y(4XfrWqvreUK{ z60!&?2e&z0$1y%T)|hS=?`^-+eaKaW(-_m40SDpxua3%XkTPku834>oc8|{G(AsFIhyc1z2wFPsAnJneH& z0HKbq!gc2JqY4{i?7VrCm_YkGC4|p1FQj%`w?jKM*_Z-*aXqy$xPQ^=zmLdmX@LwY z`0Dq)^#!D0+U*MGERwADmBbL;Ze2IM^=SnwV4qT)Y~iCG#}}iX$HHYM+E?7?%LQOh z&ebULmvXIAkTm&}_pjH+7sJa!Me>Y;3h8s0Sd zJyapk>Mg8dIPiv8DvYr;2~{j$j-i{`zI2?s8d8b9Ne04 zqh1C#S2MU#wl^2tT!1?^uMaopBe?m%(hyvDjBjQgOYZ|d7g}gp_^5iH9W9-Qe&KAn zKQ!zfcX*!i`F{sbvrKq$@MJ#k;A!4XL0!QVHdDU!c7nb`!!Kk+@Q7dwqsHVbX}tRR zZuY!Cy@6Zn8VwwqPjXe1yGygoK4MF?xJa0DqSL{at$&t>tM8}qZfoz|sUONiq!wGJ z#m4W#!7Da9v(hocvZ}avTJx_EN+9ax6rdm6a?P?Ej!_%fMeqHL?TP=ZFF~*T=^*R+ zX0B{6ej9o*2b}#Rlo-N~h2huyBsBkGsDP*Si$55iegOp$5|T3;9g#xhYne<=7bB@A z4iD5a*XkddrW=r#QH?Vcmrb=ZH3K#|IF})_GAVyq%WhjY5Z&u5xNN(iI5Qk_2p9qK zfZGBs5F}Z&HL^$(p$HmCh3x?8-}ek9rIzIQ(vB*i8)NR(A?I-3yrz;;NP$YCkSR3F z!8njM{9(a+lEB%8Bq2+7AqTDGf`*!^3nf@)7iws=%^+AHqT;U_HqyQm)JSt(gw@Kv zk`#Y`3N{qHugRZ$RqeYG5Y_rpY-jAs3ENpws9>yU$yG46Yq?+(Ae#BU%z+b|OW>bM zb14TV>iY33sY@Wi+*PpRoXOR43n1*L04Y{;oKWDdrwG=T!mv~cTwxRygOHt^Kvy+C z1ema~lN0P~#W@KUG&f8UXl=P`z}nVrA}xPN64#Iuq}rL3AVeR@QFKRs7@yYisQi_A}~Ig{01^fS)zz0ypK>`PF{} zsaqbArilqUU=mHGv$CL+oJ&RMaF5gK{_h@wB9)G-z~wcrLFzujrkcBt6gY*66(&_J z6)FtMdh8Y?fx6`&!NtX#lzHGwCxv&lx&*7Mbq8Y4l6p`#NZ8)rm4F~}0)W6J?v6%~ z%!wk$;0Pz$1QIOQd=t+!g~LnfhHrlvk~wu)6-MxVTZL5I$nYzU)U`H9*=A>Fv-z`d zffN=b_KPt8<=5W=Y{!;jhOL($KYVySd-UjwKxz^z-c18biVe=(0iLgx*Wv6e%+FCy z6b9%k&S6hOK&&6Iw!$Op2c&=}#QFg?@I2YTQmBY2f57JR=a;LuFBjM0BFulEKRXZe zSBp>A;j`XXfB&_>4{!ch%;rzQVX?fv@>K6SXY&_}tJTNLw~MQF`uBG~FW$X>^JMiY zTzCoe*R}C$D0*{=)hJQAS^RjpTw%A1brp0zy$Wsw-3nzZ)U9A!p=|_8TY5RqtT-P6F-BH4gy5vU%(G5Tn-0nLne<8*W30a$O-Wq z!lpk-DUmAQr$KdRaUD#x9U!u(Y7~+IAfZZ9nFgw2%Dgo%_ke%d5K%e;L>XW&up^^cHQdRjfr2iEFrNmZwzd%i*9g(d?`gH7;*jS`b$Ev5dh?g3_U~esw zEyoknNY%Rl<^lgkluJ~MF5-h3m%FGITxGJQ9Q~Nbu zMsQTTX-t0uy)BUr?ATJ&mQPV?9~_$24nx!G!^cS5e*A4)VROV|4UKT7;szqsi>zQ~ zYwHmKw;N|%(%Jx!bEG-|{9=dP$9ab=12`vr~$f4x}Sa@#f# zeb-m;QJkq@+z68CQKd|bU_a4yl-EgWmdwv!#(wr$(C zlP9+AWXCplY}>Y->{wsU`=0vh-di=hYE@VDkN(kX^crK1G5es{*yGr*@UO7HAC3q1 zSElwS0{wMYNrvHLg>bzr>)YOWtk!m?9d)zwr!{T2K!Os++&EL&dxVv|Vd+|A7 zJOLosAAo;g2#*6wtZ_i*-Ml94qMu@aC4c>*scyU<>9sqoi7!U67gVH>6H$w#;6&2Y z^?%mm19vwAY@6vfUinv6_`$Aq6tz3or{_blae!BVF%HWQ>@R1m0OX#k+T|*Z5O7$4 zT7&$N`d?hEusZ30zyBurOMVhZ!r93y4utmtV=C66YXJ#%V*7Dm>-4aH!tRAT3Tnp@ z!_b1>slisXxk6$4uf{A##og7Y0S`~OyGv@0Z?><|%wx**QiRh`S?03PIT{Kq6TvM5 zbcnqnn34)f$YB1C0pzhBBs$fI#Z(&O3e%k%r$luviI&>8UFydh56N5W*ZW&*yB3AG z8IJ(Kd(v)v77neOHtg3FHpP>T_xPL)y$ddwudK{@L*cvK_qIPIBctYqvHeAb!x=2k zz2B6UALpnTZ-=|mUu2}JK_OUgO(_CNhn}z{t0e{@Q$6^0*pjjNv?+ z&0D$WgN%WBCM0c&fk~)|YDE=~N?2(UM_|eV?w1GZA`4|HSzAKRp6b>dc}gl}iv_8h zqh3nKS@<$IWH+P9x&OvZF9u{=GLhU{@>x;Q`b6P8{{okoB5ow5h<)P{TV?a=n2M=t z#$jo2g>m2{03sszU}|nT?p`u@*GVYI9EmAqM((ZM`b#`)+=*LtU$1O|hda{7Sh+{q z3eyY1s*D;5zC=M^sIXJ2vLWD_mRh#>U0wjA*Lr@Na>QbSshZq&LL?}&YSVSRC2WG) z!*yqw`$(w-4HEh!;W4ONAn%mosyQRDFF`c5HHXdz0PMVp4bHC8aZN0FQ>33a9j6gz?5N1!85N5b#O$w=s7BN0=m&2sYwAN%R z!M%RWn*EXgDBW`9#Xqif$%<&(G^4W`=`;&`)s0tzfHcg{-))KQg` zEM_GK&^YM0$arhHnV54&tr#((6hrcqoPO+cOpNHyM%4J{#1=!PlvZZCWF66m0wa-H z7JDlu>vVO@uAiR9#Kj5krkVpwtguCq6fXSPRPJQMLk4RT+bx|*AmE^*EvU{ak={*Q z1QFzD&b{IB*1vm(o&^oR&rBWCylEPf_}Kgh5YV{{>o|lhTm{MBEa`@hKLtZL(?OZ4 zj;rCa<>qPsfo5#eekVLy*wx82OdLtFB_FyAt^*uw$$AoWK|&TSbZYJ`Ax`|F)?<>j z&(Mqng8mfi<2DB0e>bXlubV>}0-+smN!@M-2b!;KH2t?n@yj(utaUKd`@m$ychFA- zfQWZhRLNk}M%wOm;Hd`9;GJ2z3p-c1m&`H zC!YRggMtZ#8tQUB971O=nS**PRr-GPyJ5t&@IuMVB`hNy7yJ52rXT?LXbW+-24=VG88ZBhd>$%MbItNv`Zf6=Aq z$gchW(1NTL7}GW!N;xfjyyIa~41s#&$|%pe%L_VzGPhu`r6>rnMA`~oXDcsAY9_s_DZ}%s`@H7d3e?)(>#mE=qSC5Aa46+yg9jx82@Z zUtKd=Ix_k5X7PhIk)+CYf@{4c4gg2_BImj4<>abV$s$yZ@ zD-?%KUBXp}_fxe}JjSOe3kA68a3DX#sKD?M4OL(W+A-I~Ddhj~;}tM<}7ZSDsL14CeDD-!M>p(q+`nT4Fes4Kyt z3zVfE#JlEjas;816V|r9ieg8x@rM$oyuh18NWL`RuhMO|d8k;7R{?aXAwUC7l2VY@ zSBp%sFR}Xxo5X{TWPlHD6fqoOuY<$sBH?mZUzE33kSHK=n()LIA7#w9D38op zKoNQCmkx=a7{xt7sk!@ybw+85G1^ipzmO`3Wt3DFT$>jqA%19wpxtuu!p2fJH1Bg$ zvuvcDcK2Efxl)ODRr+X~YdXqoJ&KE`jv}>+X(x{?Z+q`i$BX8@Z64u6&2gn_iaI`) z-6zb^&B(3YFBcqv~7F^^Q!M634vnbD%kZXHy3B{*8sxgrdg{7 zy5&Q@nZF#3?}8BIc^_@<2Dj>MZqJ*C%=8m5Dbx7s$=S0H$ve;Rrn+TTMQdC?-gsxq z5jf)!x%0%9*&iy6lDdEsq0}~73>$-j#-v=Z{bJ7qIv7A#!wusuiK?>g*Falz->r_C zY2(JJplT#!4Z1AqfSEE{WpVg}YYn)9IY!4=VB16GWnKc}|l8M0TUY)Uch4hrz{ZeZudpx3N{6&2472SSuE1+6&zQ&2{^ zac?hoC@dg;n#s(cjG4HOn-mHW4Ov7&r5h7r+@~)b)TEf^UkcZ*BeVw?_{^*)I<|LU zDI@0#?#Tj8Z%Hd^%&`dk`ay9oU8Evnmeh>LW19$3_%I$V-L^h4D<%Gk(asKvJbdj0 zNw0+q@U7C$kpGw{GvSVxm8i#TiJ03&tyu4r)+4|SebHykIfah-Gfm097@zA0<7LXc z%RzJ3914BqeNAU4l-012R*sLpjxPVqzpGgc$#!sc z7PQ$Dmkl1YRbo!l?@WGw-M-CnDPif@RYKMJuwqn+BZRt_HKF9ksIp`#n>u`}@@}h> zeFNqQzokGoHI_zdCVR$9R#4Ni(m64hf8T^WEzuwc*4ND4rYgQza7dZteI{(gE`}rh zdf!}MFm>2qNY!^qXrDeTXY`Wi*4)uK;W}MWyJ=@1c~38(fF`G^^c|n}DWmy}=WYKB zZqEf0)FZb@V(?ni*0-aMc|iH4^+#f*7y$5uPNh`N%P$~I4hniFQYOXXa{%|#a-p=V z2*S95gc4Q6bzNAD$!t;|ND)3$c&L+B#2vd{62b=wgU9aAYSG298^h`k+#Z)-dveRT z+Y9|+X^aZG)TI5W?rtu=?jAQ!_w5qWxZXB1%C&(F)=GTG?3mnIty};ei_Kd}%K$X- zh#vWKVJ^cg#11C}GGd1@LXHyV8dH!qw49Fa6z$FC{)AT*$zG_)D(#+wnw|VO!M--o zPeRhNmJTC;@0xmh957$9*#{ugUof2eWryYY`vy##fdGk#W}gr>geIe^9~WIiF$!!> zqZ0reuO8X-zu_iMriQjZtF&%TPzVrq=CrvbMpRG^CdU7wvZnn38=~KHt-_9t!PG7K zPqc&!##F(3e2JVtk4ca~>QM}~wj7yf%*Q)C!dL+fw@bVU0JFvIEUdj47=rpx zS58}*m@y+D8s*cw7QnZ9C;3<6fTz08q!=t^i4~bochm!q?!Pv|B#A^ZO|cw+0Zbh@M!r8H&zkPrM2E_bI`m|#Nty`! z3PbmVph!Z|W~&faR?*$0R^fp7C}MGe-q)WvLgZ+-GhH;zM;b5VaBQ(kUODjV4pr5G zvn9{$N2ORqlmMFVYwMnZ&w$}FUVd#G>G#k7glfgoZ2&hRO2hq*ys#3(rY_R_T z6JV(W4VsN)f7SIPiOP#kAm6Xg(}5t7RJ#Gm*G^DWm*5iiQK?{j?&&1Zik;9tARVf0 z%~JlF=VZmuokQWkS>!Kfh?HrQ?Mgl`W9V6bcb z&bBYuhdY-9J^dhZJHFM6N=6x&YwqPWDA>mFg)AH#R=*Zm-c4gNNyHLb7v#b6%vO6) z7|X)Zyf=neAqvBd4OPg22);JQ_^x`a;CLi~#9?LNy;aL)j&xZpqpe`S^bkY9iI3T| zs0O>%UpV~H{!N-1T-ul>w<;7{!y5K=rctSum8%Gg2`$A4D!xsVr5qHpR`V+SD$gs6 zE70DvuAH6C;`SWSfdFfpsx3>XTTHR;tsE7D^Qx*lkd}|x^El=M9=3DiZ$7}2{L*Q% z@63UfZV`dEO8%}@pEL9_?qP}}2@2_O&+1dv9Unmmo@iXT@>Fc7 zCi$R4JC)Mbfq;8dk4IaRWTK|AHavd(I`9cP?le8YJSk}DUWD#KAZw$mp8eE$!kNCM zQovIByv7v;ThR2 z(=ciIIz|CHJCQvB=(P< zM1vT^^QQq7X}*@q$C!-8f>eiII&^}1kN_m`_@= zy8JP2vN7nX9`q_H-mtf~b%*Rlu$*mc4%IX2>jwAzBsKyv@lYHJVbR7-y@|c zRQ>cSKs~*_I?BN-zuF%!I^>mY`25p(gDZN8L_1m)-gv6>f(7%}C*82s{fNN}poBcqs9Fr%-2_*v*5 z_Tdamwh6+tx}^cYRg>r2x+k9h?&K+BnepAQ8Rcv{FMNnfuuxyFZoG98o~IBd5!vW> z`5}Qa1Nlh~)8|ofkNPx!&v+?|kVj>D+WvJq$RveKhoM`Rc8G_EDT(Qm%a}YOBSL%! z8NV@vuj_)C{^!oJ+i!fRi#tf)f-_Bynw8X8t?MUmL|b@(g>Vyo9b&aNpNMwJ+jb?T z4zb%Mb5$sR4pVv<@I(Q3vma*NOp}fv2%%5PZ*JH33FUisZ%hUIFNXXlKG@r1LxGRq z_z)f!h60^a0|E~$pNT~wpOunP)h@vN@TBxeg4w}0{{#@<1vWl=DL4x5*LEksE{R~~jQZVH^)5qdlm-8?@bk=&(w|I3H6 z{ttYnx%T1G05qk4^1%?h-_=hkfLSL>B_8VpP;4Tf;;;T{{)>kQwP9{%YuQXW#D0C| zMy1qFJ|dNyx9d0^DiN7>2+e*yCc^cBcepikaANfE9F~mFCoOSwaKvM%h?lY;Y!~7& z>>HwKgB9mOHcXLSiF#zhRx0mzei<~!L>v!BGFha0i$d16-TlYgv&13_YA^;Ry$mCbD=%iE zV)o1_8!!dCHo;t?Raq>M7-{zUTL%1;%0XkQ84uN~{l_j84TbA(XqFdHsN3oEP`B|E zLtjw3qTnckj1L%a8_SK~uk1R%%Bv%)wgFfkxfOX@QBVP$S_@KfReQCkGVn?@v6lDI+ zAYHk*WqRhq-l$IkBbG}$KPKdE5~rzc7)Tizam$J?+T0URsd_<7&kf7tDgkT47>|++ zWjK~2@cZJ257a$fqe$fVc-eN4ZS2<_JhbPn=2^x>GKfS1N9wyULPlk%e|GveybrY( z4!BF#@-EsfCdFh5K{k&aRCxP{+y3456P`Ap2-8;2+04csh_ft8e-LYE5D!W6$H@FX zs)?prbo7Zy3=x#e9I5aDVU+IPLlHLe3cdJ!-ldHy)M%-JIbe%;Q;QUF%S%gh$K9}M zByg(IVifaNW9K=eF;XFBW$2SAf_*HqD4^xqZdEHnf2~k>F`k5+^TR2=sXOR;VlKCj zF(P@>&gXAXLW{ij$b`T3FGBD$_DH{Xe5G*N2qt(C7)QJIPPdwL+8&yJN++s+owt^n zKM9s%+dSV#BH%<>gNv8&={Q?+Ogyy~1Fl;LD&2G8IZjrqU?C?;EINB;dV7`K+yRbW zm|lBIW6ProH=F^o3t)y=@4Em-_Ll($S2K8FWIr~FB4=Gp)S$g;-2`i1=R#FeNW={5 zNSaowQqPoP)kd4tK2O!y5LCjocxtpS)$>AI=v*y1a2V6 z$6VfyvwcDo1M-Ly#5fEYLgrIK5I}aPO1L2Q-k)mvyOPlgNGTbxen;tjqJxImY2uNwjFx+-G70_wJ^JPwFFxl~h;ZR3%Wx(5B<|k$w`HPQC zCt+DtncOFDMj@M`Mo?$upEy|VH0e6hk%@qyHmWdkV*`ami&%utY2nKX`W+N|O37$KrYzltg@;$tU6*w5BjT zI(bT-R`iHwuIhnf9AY-%&{}Qy<6^idn2kgVLA{(d-)_G|Ld*SVSJAqVJ{XD1%(b47 zBx)qq-OVPspXlEv%3`{4P{3M}KDVIWRBn94jw;I?*t}17UNF7d!m!~Db;=V}RMX$a z21J;>YQCLLqxEOHh$0UOnB6-k$+xXYeT>SZ91a06VO-~LI$**P-=W0cE+D4lKMH+^ z^F{<{fbyHx}ubJ@3B zNUkSS={SnsZ-L`A+)y{LmP6)3+c9%|8)6GFavF{4oJ0g<(m-Qq&5Y}frtI3~U#(-C zNE<)CajWq0OXpl6@G@_?U+9ucu6TdO5BFae$_5c>I5dwjTJO9L8 zuX}7%DfDjd;!u0@B}dOcE+nxi0#H>P=i~%C9+}p~^R{vS{WRl70;c$bvrVwv-Y7k@P~eV_r?y6OaI+P zFbE{;(Jw@dEDyyMf39!P(}DM2Xkt%uH zwtwL*W;y+=e+k#8Yems|CU%m3`-NeQrA`)&%^ID~VrUbW4?OsuNI{G16XSN}eEd!C zu)mFj2%Y1m=y&p~)-LC}u>v0gvA%v2q&RKE4 zzF>)SMt|cQiYTLPt*dT?LxX>3ojTo*fO*TjU}o_Qi*blc-AN!vGo--pmIfPi_&#q= zIH%z9f}KaAl3(tZRJ*q5qPKhQA91RR|I!3RwwY{=%X91jB^_8+x2jfdxuT%>+nBU@ zBbt0~jL%#&xT<@yDp~oP45Rf&i!l=`N+kgTOq!?1mFz({EFz&wKylp?cv8s{4vVxO z&LbKsD)}EPcm*#x%fN{+V>Lz4pV zavC`GhvM*f7oHwO8}sN6B3D^`w%E9-+8+FY8^_lK3X;NfBEe2Ecm~Le2 zX%n%V6Hp5T*6y)Tvuys2PW%p5=bsOd&odZjfD?Cuj$rrdCNB1 zHs64BEO_Z&Wy1R`L&Uq_+Oqj+`ln8QUJ;%JB5bD1~#U}EB^U(at&OI8@%)On);SSy=)MITE(O<((>_>uzftC`>HKj>dT2sq{v2^zvBp2yT0wMLc%ET22M1v)k>iC(wPbD`NO;1u9n}5h0p)2{xEP&d~82jLUwK$gYes-V+d z{UEsAj^;)b+D|uSw{L2lg(UhP+svPE;d)xFER9POrYW}$)7)mw6W;>>N7`WKU+O<= zW=XoXERp6clBKm(+8I@?%vt?K2|hPXH~6mWQPaa>?m$2`fv~9%oRayq`8K1Hao+7g zo4^Vy((J&{1vV}y3Vf;xldHllj9Kh|Suw^Jj~8B04Y0`(9?fcnVc{Z?>!iqtJ*eiys?E{j86qFUgeK} zURF;cjWMaB3CZ5$d2otS*xlFMTIUL12OU#v$dfb09`&jWPz%hRStRYXnlXpNrTdiZ3 z-xxJOXL|0FUj6ZN_^){=O?=40B;tG_=TGM$EOvPeVxvE>Vgx8e!x~~aPk7c$b1f7Z zzyu>K1AV&R#Mr=PfO$Xzln-)UpPX9mmAHPfcgs#^0##CGCyL5{E_GE-%W`lp z6q!3;{t}KvcJM9(qzRj+Z$K=}H`Y!t@)thsCFhA&wQ+LvJs_we(0L(H)`;`k0W`|Q z!a@nHw=xb(s$`JbX!T#daPXarZ(1x|%I*|*Cm_XnHsmmCW3;q%DiAQJ(lB2~9 zqT@oQ_VrU!N+lBfZej?#+zdf~#nRd#ZG!Ly7oHdn99(b$^mH|R(ME7vjenI<`kwa6 zY|ygn`Wj|><#Yrf$v&awGF*+8gOXv&0c>t_3jlBd5at)k-B2MFULGTg94*m8 z@rcwW4Gv0TAH)wqU0o^((wFNt-xi>5^F{irj`0hdV&N0w$b`c) z1m$FK@YzFiMZR7ffXzNE+5Xs0X5h}0Mj+G~A3GF{%{C09*wjiw&gZP@PR1I&K3Jg# zxds>S`)$p;nA_FKUaQVoea$zJQ)XY*e*ugmE#VRv1(cJSH3Tu*X1BqB*!52B zk?fzoN3O{8Zx%#$4nLBvn@p5w!-ZC)1eaF!{Ta`RR9k7YNPxaT0$%)KdlDxhfgm`+ zJ&L_@=rLx0P$4NYxynr>GTKZGv6`tOnzWL(J*In=BVyfXA!Un54<<*Hz|cTZ!!MdM zs^Sfwy}RX(DdIi`0N8#XL8}4O7f<0tp@{WycGm>OIgo#~yw!PhXz|c+fQ|e#_>KH2 zGt0DrkJ_-0wlVw!HB`xr=7FdLRkVaKmh7hEqt`%)jsY%l0*jZHRZT>k!ZKBQ20HME z@NfFrM-j(%_us_K4jw33nSOYUa$xqo7pt29MtroIX4iZ(0LCwQ;hyfOloP1mR|Z!$ zG)brGQH{Q?m(SGv4UM|aOZB0y z!4mv$byP17b=g2Df#))Cp+k1)mpl1raIrZZ?kOV=r>D_9GSPgE$vO)n76uBSNxmVh zw1jt}pf%R-fZ4T@Pru0x-#ClQUd)O%{QXX2=nf$6KSJ>20km#L7w>%bPZ+nQh;pg0 zVKsCdss_D~pblWkFDzhMi72$SxqBMc745Gl_D!#GC!LfK6#DR54{Jawv9GLu`NDi$J-Avr zo>|n7%CfYHm)euULc5z%FKFX5krQ}34#w~E49ls}HC5G)Ssjn#oENGPDchX+@XZ8UPUXO1ykyAFpp;+}uqglAE&K&L>xS*;J}t0@MX`^(}Mn zkkPwfi!L;dCP3SXP$=0Qw(YzxPotv$VHb4t02F#v5N!_sz28Wo_SO9wer&$t)n3u| z3jJKY_62?RmdEK_fmfe<-(K89py4_+ExR&}GgjKuHLhharug?zO;ogCE4{G`)`RP` z(Y)06{M~sOBzL!s_^&=Ei$K`bxQ@a0NmczxEZ@#Y^Eoikp=H5lh8{DbfcW#|eQ4nq z3Qe0rpwspQf*soImC^37NTr5w60|$c3aD_ozD^yI&v~EK&=M5}Gg|uPzpRH|}RE!MT8*kQ1 z-NWjDgMYI<*{~3S%W)OLy|8M)rCLeWfb|4|=YcEU4VZgh#&%IORliD;9!l~-hkRJ~ zOa;9PqN3TaGuzELq&;e$3O(T5gPXFULt{+BJcZpg6Sf<;mUWOeTMMkgQzK1_%jjh6QiuQ*xP zwO8u;THEXvn1ch!ZI9q^^zhRae-8H>{y#!P&RFw6jEIPZI80Eo1G)0a!d^$?!W}>y zAmjJ;_jU8)}hvTF6-LNcd%kqUc-89`?$n(+RauhL+UUWD|)Ar(U zAqmy(-lQ4EJW^j+>x1P~Xt3g7`SxnUhXSDh>VWAWDi8d=78fIfC&1xu|0>{tawif> z*-%}`Q3NPs_f|3<$%ujY8_UrL6Veji%$jPEup$>sYA8QkE!^@PS1~eN&(L$rN-Fne zLo~C^5OIqP?jMU-=tRvwIorf~$)Hi`AkQW;mcoRB0t5>kHtoccQ43`@GJ7spb2iCN zoQr;TemL9B-VlZW4nXfEl$ojW#f%MfFh~wF9j`1k4FkiN20i*RtTeVpFF`{jkE?^}%5@s;^oQ(Xavq?4<%Ddd^Q*}bo3sTuX%H%{>YGujLWI&hX)^6D zJW_ba?#ybPFxrxyMWnG38m7L&icgV6U#$Cz*XqW56A9U}1TfB^mzVRy%(^kT$?N5< zWP}K)gilW=Wr==m2lT+EHU6Xk{IGq^G)|g$(9nRCu6V*J(%ojTO0nIc{*c}aud)8O z_^g_P*U6Yp&7>TEcH%%u_11E1DSUOPA_XF9eR)TM%_DnD*+3%6)g%pNvPYay4|GU& z=5I&qV}3n7fIdb-)BSEVQMCp}qTeZ*WB{24Cr)p*ap3zs!=J1Se{3d^VPS`$cdZ&6vNNsafgxvenlHVS$+-5YDiW$dQ z5tViHAf}-OGrzN3&DS~$+|6&Yq*PLD$-24A%e1SY3xJoJmr+le6>db|dybQM4a~QM z87?c106--m5qK<)w3+md@m~b8;8RSow#k3%)81OyT!Ts+WEo6Y<~j9xviji#z4*EI z15<$<`&uIA2@#iCS&{a(_Uc87O9-=BK|m!7!ONlY<1l(+FO2?(B{pu&*(e8?Zt-l& z^Oa7e3c(gMB-Xt7KI8?R+I6(5;YRprjTRMa10qegg{LP#^)B7lwU}h933lk`OYVF9 z-EbOo`yk_e1W+V(EM@r6q8hTA(j-tR*ARkRkR|=ECY*x(|5@7yf+@vxT$|c1FsC*U zm$Wz)j!8rfbo&1*FkuWMOM3n(C<4c8816l$#C)tNB{ba*6?Ie%7TS={V{ zQ+!IT82WH}`hGlgOc3nE&5&OoZoS`y#i2a=`0RbX>~*RgJAgTsR!*QUf&38)h`_B@ zZWL-D7Rag&lNzM-OjIhEuT2bB>#%&P6=mzO!qU7tmTL}O=t2S35;tU&=!bwr0@QU% ztX8^wl&~6}2=`RJFaE^s*$K}%DQy3VB1zZ}60sz^H>bx^hd<_m^5ztt<;UQI!tmhN zmE2pIU+x+IDr1i7%_>x1Cb zL0VhrtG*r!^G6)^3KtAS3U_{spX-mp`Bk=+c)y(`vlTFcD~|ioo@w?i4{-l;$RLqx zpF3M(h*rhm`o!21VVlUKD6!Vq$U++rD;gZpM|5>3$qNlqm^z9q61lT4q(xlUVV|XQ zqllucNbzm0bLtElcx{f6SDR>=wW&O48bcA$WxmKkCW)$rY=aLyS!Y6Z^NxDabrjQ@| za1^n_X8D$e%f|ZyfRWoQv*my$CB4`S*DUs(hp2Jsw@K1Y1na_B^vYiEqDoOsyMT&RyhYFU1|7kU)_G6 zd~@dEeDR6TAan}{og@@fD#r!5-JT&_%Iw|Cui3M#zb5XmIJZr1v$)V9_`Sa6ao7y5 z+OR;&PZBjJEG-6)HWUQ%egh-p=p+S5{1~>`85oiRIDf;lv%%2InA(}USP(KXu%t11 z!%_ldnSy zFDt#(tCtMxFD==|jP8sRE=$g7Q$(sIh!Y4Y>KzeNi{i9d%M}o5Epb|Tu;7k!Cj;{n zs}KT}(6%yCRI-K;vay#4Nm+4%OO~~Hrp5q62N?2O5CQMSL%gA85WgwP%zi1tZf#z` zCxHAb7K^b>9_VyB|mX+cN!Sz|{T+-8nYwf(N(i5}k463*C#{v8;p;kbfG zlilW_0T0ReuxlMr9EwWgkG9SHOGr4|MFHPNt3SiABUJk$pRfk~7aEL|FhlBy?F#|` zq-bzI%L*A=Pw^6L84jirIfba5yuKc5Ju&!O&=Z+}+2(C=tA<{!6&3`8<)yq1qK%Z#*Sj(!J!06Xe@ui zf&)K_{e`V8HQJaNr)9it_%9BK!~`NBaJON#IP_@G(_?(!Zg4MPc6KMf^3@;+F>cBR zisJ$OtseOTbsSN4SzLfbstVzEYpxZRolCH#Wjz*J&m5Ern7ApN=c+K6vxPG#Ym*_k zYM7^hTr)No?u;6ayh^Zd+w$J^y4Wg+2vo#sw4A?xurFR2hX^igATLoZBpoS$<|?&w zu?}3$9bX%Kunjh=?^0_7h1U~2a_WXLSaqDa7XejL8rRI8%{|+2_%0CjiI-0QI z0dO50Jq{fM&Afo^ns? zDQyfSup*6}uKja5g_a8;RG;1Vay2wWR+NL+pt|ZTdu6bC^<&#Q8)*9)>4^Hie%jI1 z`}FZ+4NhBm@45Q!{{D&{7F~Tnr{259(g>_bBmoY$OhxL-7z_mT|XA(1LKJ|=A4lreQiy%Xw-fIb0Xm?p>r(UFxLA7Gptm1b(MTK zk$*bZ`^OK3V{sX{R1(k*j9Z}%0(oLVH(S|!!m(6F={U&R(% zUfrD$nI5Bb4$M}TiLp{(#nnX00;gRF4-i@Aqi5< z$~`XS95V|T_dz7pY$yc@H>1smxZ0%|yvG((!D>bLs$niT8+1y;s%G@+ZJ8{qOR&2d zs$t%Sp0|!BCd0X4A~9atR+Au&QR}dWuIO<52fc|OLz0%o;pBxG%l8=eFfPGs$isH{ zaZC4f%})3nUB`B+y=8x={R!LCY}&JR9ye0c8)IM9TV16cK+x%vDENMv4*0p=ZIa(@ z#{XNF74Mfr|EYS6xMx%GK=Qt%c*#>z8)R2xTV%cn%8U||%tTA6lK*GnDRoSlQEHN# z_bkU#h@xUYar(hy)L0kyJy{jQ#DR6XCHR+mYUz4Hy1@vl z7(nr?`K1EP70@ZmJShJ7UR6h1Ef5BWOI>Anh!OfU-%rH?N-B?vQN^XF35<|oEj$5o zbsJHzVBwZWpUf5=g?^*0g{*>V1osNH?#MGpZLJQViq6k7$NgoLOV(`=NlAx@b2HGj zg_EN#hXtjYGK3+Sj5b2>%lt<1MX8fT0}3tY8}hpTF8Y5sfgd5xaFOXJt_+t z_*!ibCq+U0C%m+wx)f69d}eU6u-(zOT}y!F$THuLHoe=Q@FUC#$tasMICZL(~J9e>Gtp*NcI{S6z|c^vqH^yk8g#qZg z>9bM8->hgwyG#kl6%bVIu~YyUbic)V>0Eb@vm zhnQqKq66LFzQwFp<%8G81a|xkO`cf$N*}~-Dte5V@IE33Rv`ah89h}oj82hYf4K9@ zD2)mT_0{`$?)URSFc%nxTQ34dDN$ey{gWiHk|r$c%u^aBZ|Ur`(;5ozF8`8~L8Gu+ zi{D5#v;T$Z)5%~j+MWkIj?+NBD78e4!2R|^H66gGUxGGB795iJdqokieZ>s}kMc?s zgbsUf7js7!Shqhc9ZQOo1v6d3-i>y%+w?XZgucrPlfVvJ@-QosfTe1nfN4pd84@vN zl;>t7WSG}DWMzizE+KRfXD6u9H9A>QW)V^6#$J5?HJ&#$Y4QkwS7<6@*RQNj7l49Y z>37`*fl6B{kTQHa24hJfgnpS1@@us^zX=N~g%t1Nhu6+ZzV;oDJ8&)Z>%?heKgA>Pd*!<2!|x>UIZNACg3falMvPto**mwDWH2P#Gm1q;68!-# zuWveLpiB`*mj!_1j;vC1w1A|@hGhOSd79DUo>lsY1>ZSGgO`LC&Ppx!D{@0Zi4EIM z10F{xSPw-gW>PPK=YxaK!N_n2n`&Pwx`aY|xo2{TsG4TTY<@MShjLf#GH4+6;Bk@hT56BAL~E9ub`bu)ttd20ril~XJP%?= zZX=3`DG6{cmeZc1OAc1}&HK!ULw!jOj>0Ugko5E6uJaDHDdZOy&c%et>pUx8HRhCCMtav>z*4MQrk2@`Yz zWmd_mELj9ikK@39g&VG|IFZI~w@)6@wx7d?>GQS9{pzs#9II_TSq39kMT9G!n5Y|! zPY6Ip#W)u(6^HCXspToRRb$}08gG&h+n;XsoJ}^W#uYl=QIfLOt}Via7QDAwYpozV z^ts_DfbV?P@6LtQ`o$o1VZJ;F`J0+TzWz(shaM4$GzD33$#-BOa$>JX&im7TgXXwv z@~`t;6yXOQ1Rgb5S5lwhcihhw(O-jMh6lJ`bQNVU=AMs@$#8B z5vaHGLW0s_1Utjnp+$888%zFHU;oXFJM#V8Sihv^57t|fq^kPtFfZOkNsp%`D2Vl% zTuk)ZJR@cv9fdK((8I|Ci#TBaC?wQn75=mhbNIJQ!t@ab2>V^HDWNeQHbS;J3nM_) z(mJt+g@S=k>wE5=sO86|Q3j<3m0eAv8v|y_4P_D{2U_ivxL{-3A7y`%qRJ8uoU!iK zM_yd4s`YQivJc)+Gt-vJFywfoU&IlwvT_Tg!m7oeFVB;4&3~08Jcj+z+(2779(QK) z<3ZB&0X;y6$uryFBE_GKB}Anjr5T{PL$e`zqd(8C4RSZU>1hF1=*=y+K6&V`wv3u4 zQ*g4h#I?`H-1=_8%G#+qcz#)l9JE2kv<0L|WnRs0#xFm$lIZ0hZk3h-QpZa)PeTN# zr?yLV6P(&THHys)s3-&2MW1rM_0e4MNrvI7577+G>u%6UdsdyByJLoIrUGz>)!>dL zEVY_~ie$Yk^sFJj)|Jhk;6J)O5!I_E3LVydwR%~Xf`k&9uayhzVb7!FYEE>Zx0ZDw z7FFTtHD^v!qHv#COQtGB$s6;6M_hpgUJ4N!NEt0oO_-V(*_+UP43X9-4-$%yP^~VT z>WN*r7#hH`*M^Ws2MVovSpg=z7?|Xe?qZ-XL@VS!rk@0(Bn2(9O;+M>W$afCAg#lx`edRO6H~ zn(dlD8YYh2w5dTg5iF7A9$Z{|QsEMcfGS$iY5r*9^%aEMXh!*o)B{XNhSq~oWX5Xz zP~=IeVuR<&So#UuG?u`urDl^-z=y0Uer+p9?a#(dnI0+sL$pDbYPCZIVsq7jE7I=7 zl?UY-p!SgG*0KK>+lc$4Qix@zX`#o5!(Ve2B1K%TLE<>B6NhqmfAT9$gJkAc+L(9O zdD*Y2SZJ=5~gaM?45KVGm9O$n=P)-btS`KcZ7wy3qiX(aeXD z>-?x{Z-wTQqKE=DxegNY7j-5Fz9cgCY)rZs?qB1&C^{40Kx%9tx&QNz1*4U?`2o z%hnEGzTNV?TsZtiUGXgj&(YH^?&W_~9+zCL=vh-#yc1NG+Ga`T+Ura{Z0U1#f}$jl zf}&DA2+(=qY;|^5idCzq$|Ua~t8P_Op4H>)hasu>3T`7Jr!oPK7w0b=xiO^0x7N0j z6^SwHNu!Rgr1e7h#iWi`tHM-mwnfB=MFi@15XOAesZF@*yydv+B;|6s#bnKrnqKqi z@8c5d&IByXMpWgGo%JOq_WwTsO+d20xZ09Y#8~xY zL+5=Xd6^={>pNZ;dsu~xm46a4Np3-Lnh zsK0}cb$l;FdGa0dz#a23eAGI~a>oZ0n=M;4<;!C zIhf>k0h8EmU^h9KDUbUwZN9~z z(W;N}@Z!b8?aP1v@R)8Nzj^cY?&0>uPycu~zyJBWH~)ILee(47+sC(G6<|Yoef#6> zPq$CMs`Jak?eoWP-leak^c*k}5nQdd7u~>$nidt}sYxnw0?B zBu6J8SuU-g$-;jFS=h)yJ6N)al53oD(XW(6%`hR+mWdtkCAkHe>KQ73b|6Dh^xT*w7rf_{~zF{TV8H#&zFIGK8OBK1PO(2@ruCKWlVRL8{@R1Iqd8} zqFj%hF*5LS;bsFXS~nqoY;N>)`xKbyy)0Hy8YH;X~ zNP#Ow7>#uv-Zufa12UPwn8A4=sdYeRL-J^jXtU@hBc(P(VN%*M00ZuwS*O8VkP+?D zOt@`Do`!UV{$Sb(Qf=@A$6Cj3E;_u&xHF*;5PuYQQ)FXSGm@dCP>OR4%ar$7x4)&H^(s zuME_j*?F;TjuaNz40?!w#s|VG971#$2Y)o9j7?xgz-&G%lUbkfa?AojRo~=BsQ`mD za~22~{>yWc3?E|#jZ^`0%&Np)Pd*y z!;|9AW;u|QKTo&6{_XDxKA<7JWMk{iPv3w4`hVYu%nzFt1iHVt@#WK-cXR8PZ0A8= z<`XYx2g>dF8y;rG@%RGG4sGj7!GHcfzkuNF)!V0UzIepzrrWE}UZ&fZk3YXluYW1Q zS9q_7+o#y~@y)v*+1l%To`U-E>8H2fJkH<6@w>k~e*4|mpFI7XrfdWGYn$cYS6{!y z)8x^Q8|S(Fh>d51ko?U4;Paxd#kv$_E$UjxTIgEXTKHPTS}e-3D957Q7F*@ED7Qtq zEy`_CZi{kTl-r`*7Ui}m_eHrc%6(Dpi*jF-`=ZrC|qC6Jm zu_%v4c`V9fQ6A5f+1-0y)U}Yc(6z9&@U@7wXlv2eVwX+|G#7telx0ztMOhYQS(N3V z{Q9b<3*rW-Kr4|41TF3G91R|%op-@5^x;f(*y;&*3Y`N9@aaOX91(?X@}A;=WvFNX z)`}wy1Y$bG9RZlrUDb8bqnI29S-_UWBXiay+<&cN71uW!LMp<1yLTD_@y&=`TrkH{ zU}=<*MIj5ubkKj&K;T)n9SAcWFyY93Wp+s|D~sJ7De`&izFv7C2=oESj^tP7%mTbR zz{R1Yt@ll}36XvjwRtcmNP?lHWl%dB-%=U}>Eu(hfs~a=Ot(`mSM$=T0%J zM)JN<>_Q7UEg`lml@oaeyA91UeT|61PFX-hN>+SVixz*o6{rQvs_@NP5blB*Bdl-Z z0T@x>Gt5gy+`~f22&O=6efX}9IlvlGaWYn_n213F0l+dG3v6eXDCooyp;>__I9)QR z90Obd!P>SlA1FgX{Gz=dl_REw2!nMDU`F3mF{1lq6G~f5=gv@rO(@_-*3eyC5F8LZ zs{%hc=VO1C5n?5l4a$g3U{To^#ikM&iG={av~a$&;brSCzzR0tG--wZLO@SlH4ehT zUTfb~V;9a3a91FeG1S93D3SmrmTTR19y7?E;cjE7$A&4nD74d4S=8&p-uaV-?&q*q z05k9H!tzH#Bq{!?N`4 zoXvlW(_;IjR?1mC&SoMr6P62@l+L1etJ@@jxI%&5#}M2x%IqI71b1a|8G0CUFWoqM zV(?|ZUb->4wozQH-b*)Zzl_#CpmcMoC<3vij1-+Fsx$pz@ic&4-FL}?<$W)jq9Ny~ zh`$@~TFDjk^K{_J$8W%ek6E|4a}oSggT8-@i#gPv!Vc`T4uGgJYir{)sJOIC`rN4v z^^9<4wQ9ro=MHTX6U;OiYb8+GPDet-P!M`+Un`$O^E)ZMt z@7L~JG|u>+M!Xj~bmqa$`W)0{>EAfq!g(L(jQ_423V-J3*mJAuAFdjVFM!B zdjBsjkQw=Dx%pDGc*8Z3X+ zm|Jt&Mij^2`4oDDnXuXW<phpobzDP`?ZJHg+cd! zh1Fvbj4)GPQ)OLLS{G-9O`|e)$<(^)cPudcNNvjd&rGlNCzK$g42<4%uUmimRtm4< zLyV}WHsk9F`edt`kIRbKW%FV%B+~R}c0g*?@L)PmcT8p!GK8DTabp#AT7vdpPH1*W_G@GEqqixwb%oX zUdQvxKsDaNaR0$W8P`Xf*ld5{84anx-M|P|NKeg#-CNNpHH9E{$uu#+G)NHX>Y0HuKrPyFPH-*mpr(`Z@QD4Q+vEXiE7 zDChILHi%L{7*K~o^vD_*tAOUA)p1X5zt(2y!op4VbVI?ABqxE$X)Aw31F!g*wb^~q z!izDa6+1e9?Zv@pq^LLv2h+Y9nSe+s-T=g9Y73XSAA1te6e)Qc0-~J&F^%#K0+aCU zY80K1&pwWJ*d`(RP;n@LtE_nN;$!E^>~`t=O4_j%a3u7b#9{{fBxSp_hnHV>Nf(e! z7=CEXI8gelD3>H495H_`=}J0967sYm1#6k{6NW1>>yR+CtwsA5&ci^*l?OP_1;Mh`af(XmFs220mox~54JgzFQJ6nHkl|Wxk;rQG2$Fp$sDY`hDTwIN= z&%=usDm85Map8ZpYa7-IF-%{D#P&W6rMd!B>wQ>K>L>ixxeqUkMSF?JVGhO*^`Nh| zs^a?r2Pz-@*Oq-9hit6zpO3++0~b_CN^If!trORuah|2H@J^n#{8zI2m(JUt!$2jK zdw&gk{&^3E;1m(EB7a$=c0h6eucip*f*_{w>f;++WkUTT<%*^+`>pMTs>a|zzs=BM{D!n%?rG_@Eq$SuKCK2d}6D|NQ4h}&ST7W#z5$N(FwFH=Z0aSqyGaWA{ASZyq><^&f27W94Ove1 z-`N0g0^DDGf_@|YuW;yIf&u&;&5Np)3)tb00|0{!1mYyb&hFvi!Dj8|3Sk4gShG3V zGyZmk$+5`Wj*v--Mr7)0}K>ir`U1op^$l@iJz&}9&fq#Uml4^=_ zvf4VVFWF-Kop9Da^=E^4e?tC*q9rRSqbmD9NPoiv*#lkwRO`1T%a;;^>|NPjT>Zsx zc&Yabo)?#pf5rS#7RYb7_J1J(xB`JMhW_W^*sWeLyR!e|s4M&LI9TP>)pS@@6s2X= zv}ON*1iSog0ODr-TlfD;@jnu0W9Iq?vWkX=3c$e(m@`}}WZsH^9=(iCxzb3>-)D1WRgI$D7Nf`74Jko;5hk4|Lxf3wvXUsjqW*wNnWrF*SV z*ww(0m!4txe|;zY7iu{-dwaDP0}TI5jsE+%nFGk)>;I$hU#IkezZ1al+sc1QK(2Bi zPoSj+2x4LLSFQfy|K&{5(b^seVCCfci>dp23)#O6$IJ2u{k}YYi#YyE_R{wjc8)+- zR{)>j9}(~+e~JGE`lX=1Ap_Vo4PVPCYcv0E{rKm!tfK|k669$8()N4+GZz;#FBFcK zCgbAe1^95j%#S6|^G_E7*x4MxkQWtzlN-eEca2egcZvWPfL-f1>klQ!4`4U@n-T!9 zoBxaAdnJJFHllG50=MSw7aRJ)_^+A>|H}}6CQ8k0OfIN*j zUIv2mh5z#V=iA%=a6tR_EdQIfloZ(0hn1Iy6Tr&N!4Kf%c^MW?PA+c0|IoGgYgzso z#+T~;f0O>brvN~pC(r_AX&!7L9BTWqDZI>IwqUXxo>q|Uuo7R)Kq($>DSfhogit1T zlL{za7}OG&L01S?Q4o6TpB&^^Y(N|O%KmA+HK}Ui!ctS>hnc^sKM97cSADd7Zu~4QcnKs5}xUdw)i%3fbPh?v|vZ~e{Brc_%rr-3e}tPw$(g%kD^)5W;_>r z9M458;!cvq$xdj<^DW+oXtQ3)C8inE{BZmcB?PC>-MCwm&eziAX4v2RrZ*K_HNl<1)bG=ws>T@PRYHDVfc>e>1}XqZ zXsq+4qQwm1Ip(yk))%8A^V{T>PL&&tfetJ$={LX2HPy-OJ<0n5{IvU0ThjjdX;m#+ zjkmkD`JSAIHod8ntf2iyi=pV2dHch-k~)cv$**r7kjIE!p`X#vM+o}1@J??GaFSp16ziyRkagdmGvNXOpdB`DtR+rnzq?D?+b4U$WX^af1Yl) z>X{$(kO<3^-fJ1-hjQa3>+Lf05(bfR7|s%SlFU$;wHQEI=6 zWcLzNYHK3>1bT!ODmb?KKM!x-e{*tUVN^EMsLWT3VcA}^5Y-pgbQi>?mc~zp#rKJ> zzQN@Nd$@e#gsy_mk9b|(lvL_uzPLhU) zP zo}WRzdIQ%>t3~X_n<5`kaxj^j(2Or?UiHZd_k0E*ilwY6?Sf&be@q{quybauZ2L$w zKiAD%elWL-GLsm4laKT{hM)dOIfdj@L25^@IQ%2G8n)@?VYA^^XM>WjaAh9I8evfz zaWK=`Kb1BJuIK|Eyy`1LoNjCj;#6m-r=6%o}=zVx|L^r5n% z3NOODTBnUrgsvXs`qhU|@ElorvPFh0))wCvJKm#S(3nS>`_ukl3`Ms&t-27ewsI_E z=ZT^}|M=>*d}SG6t_fRtcpHY_YA7yg{pQ%kyY0JPs2>kEe;02gO5>r-fViO$YwVk7 zob>Irp+G@~V2^TnKg9O$Zs91rSU-bX&aT_D`l2lm;E35LhE!tJ#Rx2-pdysyM0=1< z^w@B-Gr2dQB}={qzdsGb_I8aKfg|z> zU1RLv+C^xDnu~tm;?Dw1hpt(*1vSYIPZ`<-mq>9e-t_y=@C=chDK@UYs_yCirFBEr ziCab=Nvpq1zR%2%KdRHvlSRipS+#t|@bd@XPM&pCe~Q74Wqfd(>cW)c+uqkdE|K`O zEd{$Mx$Mol`KP%`ou9gbN0SW@myd-gjM|f$%Q*w-eSYp%ej`BI8@i^{#S>mV`Id@f zdKW|I{JDGbgh7F&m@D}LB9GGM5>#q&zB(RJX&xGcSl%C%n6 zqxpFVNcuLq!K%K@wxDp>W0tkEndw+Z*;HSnfANr(=m%LNz?@*}`kKsR-A6U@lIFG# zpKWcQzAR%J=wq@O<0A-#h@%4wyG|2 ze-2uy@+asC>+s;vZ`{x&h^E#&`s{&DiU*-ab9*ZFqTY-s>Qi-&d(fkUojgSnqo`q~ z9)p!`=vFK#k-VP|>!6m`DgzQI%X?WZOWq@YOksc3@C*<0%4e^ZAa|_|;r;oIZv2_H z{^P@H7uu}LIo(mop!Bjr=b~S~)%5PLe{9P9&*6$2x!SbSB81j;Rd{vbBq4L+hFmob zi%;?D&9(eaaltaA6nXFz(+1imF&73ytx{6XBGEsV++aKr-AArniFw77QEb1Z9X|BS zk-UE6)PXbuhriuMq-uEVTJ3J9Qo1f8#8N?=WxyQhG2n~wi7r=4(ubmCdf3q}J2JHp#CB`;h?m#5U?G&^!a=RrtO7TN8vRFk= z4BJI+@t3R8TQL!Cb*ZTJuHvIGccGEMm@SnsWmcN!OzR#0E__sYIg})s^y~UcV`7{v zo}U{lOzTrlKQFl2S|~n@Nacou8_eU;SCPi|t#}rAsW}qM?{uDvP((b8f8@N-tw)jd zit-CwOMcG4mMb8TCPj^lpkGJKkEF4Fc2m;)x}#H~>1fx$uQ!gaUxVsGF9Lo`M>Mb7 zr!9Ww`=!+9tmPnnK+{b&@`nVr*LD+v6i*GhET+Eimbx?Uqk~pguL$KK8s<8+n{##w zOL5@Y#q%?==%6j#O`#b({%h8J$<2qjm0$es!d(XN!R@XWB4@UKGfQ|@vZFo4vd;NhC%af@58h z7%L70g*OfLy!F;Ae}P-BNj~TY4|Nps6w#?!!nW^(@S!Ga(ZvqKAd`OCIB-QIztPT; z{%-RI4+2dKp2ge#xx{4B$8J;^&*Dl!?6LA3c5Ni{)O07Um=`$N&|av_`Dif0C-CAF-!py4RQH4bs8VB`-aVXCosTr&0Kze~A&7$dTVXyaKj-+bI;t zbMcBW@mG1dwg8Oz*@qk3+fPOHo25HdksMz=yeCV}-BVV-mv1vOrPzH zjbk3d)3A15bVONKKgNP&C^jhc_8WNI^ka{Bp(jDn8yebn=MDSoe%VPB7U-!LvNQ|B zC2qUtTIpMse+@8lID%PJ!ClK63YI^k^(Sw**J~#L_Q581t#Q)xAw8z6d;E;ZQLlnj z?LMq4Bed4ZaO8S7(bjhFHw*#@dj!Bl$O$@H~k zy66_35r*2iIRy&FRTb=2b(W5QD0vuPCz);0v!#oGe=eb6=#VOijp!Wl`z}=;9I&ac zTZ&{2qnWArRhnAs3?ToBa#g@opJIUM^}u>6j2vv30;Rrd&op81>PX$S?CYjYSxJ8k z)a_XA#sW$%jh_u!_}9O%aTmH(wKBqc4aGGy)(g}0M8dU1kiM!*`W9h#Un>w34Z|L6 zo*i$ce~UVb_X-26r==29f^Z!v=+G@olghI#PO{7!e{E+jbY2X9jFTQk`Izz@2}5>9 z#8BC7nG?uzkAN{}n|YP`Zngtnl-e{&ko>nL#q~ zvHZ~fN4$`sgstowrSBcVe)}yF`k1r}BG#>!fB4_vM@Z3WG;^jIcOe8 zm*2F+pX^~CbrKeJB#6NJnOeQ+-uTR?#E?L>Jxfb=K@Lw?mSv|EA+g&B?^1;@f8v8N z>%5Xgh<$wGyByusyFCF36HaWPFO7@b)85#;aEced9>yjcKWBa{|6Xzj3!6)qfqH&$ zf4qyAK$V{^BuTJ#e3_t!ltzIN3>nrNV@P2PgT0lEz<~%xB5@`O;O|-^6+%IMnoZ^H zQ{8z}qsRpk9*0UdfIqENW)q&#UC+vUzz0O@52kQ! zot9R&Q4(7Y$97fgg%$%H0yENt+Nsnzw+5BH1q0S36WN*YM{2c@)C74w#{uwT$q!)odp}XUi^gia^Z4`%IjBRg3^_0*OsjHjMrZ@ak2u zO!5pB;MP`tRm#KHc{gVwQ-j_Je@0J`n*uW`(DMPLLfpu{u%iiTP_Y+^J-byG+`)$? z)pi`ec46SlqS19XdS0Z?!l?HSfio@+r&QN9Lm|u6#E1VBtpkHKm=EP!6y%mwt3#6C zRnPA}s#|f7riOY~i#$o=Oa9(EH5F#j`-$L^ru%sQiBEW7$72C@=3~{Xf6NjfQxjH$ zsl>;F{mK0`$>-#wFwEY*D%|Qaep)5^m8E>NT!K5h_@oaMP^^H|C8^ZoPO-#Yg~tOe zK7R8j>ekS03F*)gC#L{)Or#(@ZFL8}pL4-w46F-A9r^s)f(c#-+TuNePc3eX^5GMg zL0G2V@i%6gajSc!H$G}Ve^q;JYNgfFw%}G=0V`nnT-TBe-m7F!qp41tW;~f zBvGW8Q#miB`(q}zYCrWecNrp7;h!@w7@7P0pxEx}`vs{E6RF=Kk}DOtCQ7AP+CA8^ zE`~<;LoDrVeU@ny6Db^|=?+FE(~vcYO}gNAkSN9wjc`9@8$%i2*CYYV&Rx?2`^8}x zBNx9_Q%NU@)$jP*fA_^4wX?Q#d3Yw;xkB|_4a)7|XGIvEDw(@@Zc1~iYd67-GwokZ z!RVN1`$l7xlZ>tEGlIeu(Clnr!n|6xrR#*EL#k1%?i8I=r8?K1`AiABUcb-HmQls@ zxDxS+Z%K{k7$R+d3q!)LT1fC|G*#?~JQwq)9x?Q~86}E1e|z5Id#*&K`@@bf`V#(4 z|Hl+yIMm``J7P0I@lLhp+==#m^w%YdP`wAKRYsyweBZ*}ZC5x{FXVE%T^!NqBJ|p1 z^IZmus~+fz{m}x4%Ah_p?0d_hauG__aaOU!d9uJ{IkrBhBUAAzZHw|6jrjv&j|&_09ShOH>aHk)_mk1n$t8!nqW zIjD{JG}V}*sU8t+cCIKVqhO0o-07`gq1ixu?$;9X28b92FkWlC+cvPe$nBe$YaPfuNrS2%`7-qSY{zUxRJZNv zsCgmP{*8o2W6PKXQQ&}1&(54z~;x2P8@WiHn(56V%yb|?OFiBZiG(gm! z@oky)ojKjKt*DS!JD6!`k%jPV>WGcQkjrk*qms>E^h=3i-ING1Md<}@`=d3tUP+jT zE%cbIcjty(ug2R-MG`Rgw3ESRp;u0WcU5B(f{m7uNQx`5dG}gz2 z=9Q~-#QMzmlf?4nZ6ak{cM=UmjT;@dO9trtcGe1P;Jn<*H5cEKkPWLk<0j%v=N-!= zZ8>7&2{Wk-_v#!wu^JdWf=G->f6NE+Z^5hJUmW&}L$IYv5=Oq|a>HMmG$*j52vSrI zC|bA1_xpOhZWScMj!WjKiI;llX?&`wQeF){Rr>C=(MORsHyU7DJyd1%ZkWP#1)`lV zUXiOhQ16K=xj&f1l|x5pm#CcBSH|(>Toa4cIo|b?QB8F#lcU*R1(hkUf7=Pj*n8Ds zTOs8Z6QO^|CqKT|lhF{;6Gy1#hI)tg)$47(T5J?gF=!Ce-vwDd8I$R0!t=A?**!qq z%S*0o=l{HenEPkrukIBDS@T8QO4WBrCe?1XPp(CGcua#^j zRRji77tQUS7DlYuaoG?IH_B@`jbh182%idUu6QDEQbc7-+79+*OFd9jLX0Q71V~Gz z#IwCvntK7mKXB)(v1XsQY{QFk9=@VLZO0#Xh}p49=36#%^4-?(2*MIw6-m#{ENMIpgptDDBUf>iS_FYWJo8D3sf# z5RIDC*LLRUw6b$!i2Cd#QI3*B-{m!E5$RK47gI_W6=LUVdE-q(;X$X{_dapK*w;E> zS1!l|n?FoB7FWZ#);LGR$K#Gp8nY$p-O=i-VcS6hfTVFLf455Sx&1je^$7=7^59>Z|CPK-X z3ctuwKuv@T5Mom{DTV4#;*nZ7h!bRai~plrZahqnomJ)r@v#(HLgKuUVN79+Jt|?i zS!R-Sc7lGAe>|6;%`5#j>%jc%Ucg58Qa6QI-r|hZA4xwZJb=DFuiysJWS~;utM1GI z3x+}aZc;3nxFrj4F zPaR>D7*=eBGhuc=%D6c<^jU&0gJygu7mB;M@8GVyUHr-AStDvRNHV(C3r#+&`_rtf zRDCgKe@eo~CCsFyf#X9m-AREZWkQxtLEKN_eqjz(eXz#q=ErRYob!rrFcs=csfA#m zCIVt@nt!r>#f&Nk6s9j)zzA)uO*^7~CokN$V@0SlksQ)Gs$dab#1}oqGv^s1kInFW?(F4XlRyx^ zxQ(zhP>IBJ?&~ejldeFw(ifPe^x53Ni`HE5xO)jU%W1PQ>T+cx&NVhzi~%) zYxs7J<6XbIs#LxtCRZmk?_gqU6&aFuPG;N6 z%na@ZI!gQ+$a-%SVs{s(0mn%Bg*gV%{Wz}`YPG0Q$yw33YwQtL8~BFjc?|-*Xp$66 zPE*dw5P?1llq=gdwsrjR@Z3TI<~f>Of>eXtPtB}YLN}E7S?-JV4>{o z^H$Ssx880zd7ig5!095Q_Sp4^0;nB5VT@-UcPln=*yKnloh5p{a21@;FIbDA_t7RY zb+*MOLr(xoTB8hNH@s#Yf86%G74}J9PWm!hxII#(U|DNU#S>SI`ABj+rf2{o`&%=V zeADzq;o;%W#@9EpHe_a#tPFKwUr)6{Rntx4M=$d!9FaO%_cP6#H=7p>I5LM=ineQh z6y=KoL^z6u#M>ECt31wT5azpBupnh1o@;Y&Hf_9mR zHnEjJ8*R>kfwT<6f5X77K`qsfiou*bHKdiMZ{LQGBJ9FAg0s!vE1*xn>&G%?axMtN ztup(I8OWyQ%!~+xe;ibO%@-T%i;l~vm03-b_l%=pgz_v3D^4BINz*xdG@tPEX8k{` z^I&ZqK{;$T^~JO*h2=PkV?MM_!qFdK& zvG;>UVUaqUp+1>A(vN3*R2@Ef^H$2Y@^;(7NxKOY;w#6Gl=6wmL^4(LwQ9$jtQR> zzaGZ$Yg*yFr5tW*Pe+-wROq=yDIw($JLr@Yw4(Q#PMXfW`d7w6?HiTH{^BrSD)Di* zWLGXnE%c$^IfM`|o7GVm_m)h59^X(Uw(e-@_d#$>e`E2hT!e85P%-?xwUE-YRg!7e zRyqYS)5j4%*j^w73iT3A(7qnF#+pr7z-W9YvD)93s=|R)8lEtF&i25oH!>TxBpQtT zRV~5|!7zs1@4dB9p#<{th)BC$H~e_X007mHky)A*!vudM8~$TOCC4!Y0d=Eejzj}B zY0toQe_`xvRl`Y~`qM3MveJFVG#rj(T}K$vUT8e!8xO)STs4UFYq3=wQZFTN3Q^vLR^X0nnzIz9J5xGj0odwMLWa|y#LU7hn zVsz=yQ1@A?@*f^u@BM;3t3O7m3ZKff<5``@jjp;zr#>^P&~;RDSw6XsUG2AllAV>d?=$D@w%hC0wz#z~@mu9Aeh@50ezuOcQg^6Wqdf9iFw zB-1y0)R;k9d}SF3o%>K8n-lWIWUS_$Vy$ok`p(V${fr$>-sfAxgnnL1nWc;aX?Xon z!l+sGOUj(TF89qqiRJsvtzn0J zj?B+CUua}N+`9<@LY3Rf+vXyDq}iJ{#>WR}T*vR(C4Qjp9ulXA7L-_m6G(nNK3w{6 zv_bq@dJe6bc6Pf5JEceb$eD`89p?ZkKwufMv6dIeZOkB+t`c&LtmUfke}kM;#{{$O zdyt^aP-|?-tff^o+C<#$aaZlO5l(oL!|F*GuV@Yd7>L!X<(M@4Bi8(;wbhZ8?q*2q zn^MMj!@A$E`>^{wLozI-uvewyq)GXJr<&jtHW%xm;N+TXwK$yuqeil1G-$bRLbvc{0_v9k8JDU9qH7IAcsLjQ|pNn4d<8w5T z1X33_mzh6ZwpucNXOCC#`cM`5>;U3TV#6}5Cs!o^$#@xA=VqMBiQx=`uLURA{uKM6 zUrT<7efussLl?f}e{Q>aZ?Q9tj~qpwspp$gqShxeDKX>Qz9W47&e&8H z?8^a|J{{Ftc!5j}?yBz`Kz0@6VL9?%t{P7C$6QWJ#$Vc#c|WOCj{brjQBVR?BH^e) zf7j8siwNT@?7-PS@@Q2!)G*)5g&z_RL04e?{3Z z&`k9GaFqsL5yc{T?Dr4=ffTdz*{!s#6!Y*;ysMJ(>)HkvYP4mj5B&GjhREk%2;fmN zTsOyMPM&*LwyNL1Ns|e#rSB;fFwL%$7mdDUPRT?R3?T|N4zjjdD}*JEHI2gJiE|4FlKGEYJ*N!k%DL4rcA&3GM141z*YmwFHirYK{}KFr27O z<&>j&u@?IeB}54$ZW`i(N=+s!LX8ja@(2} z;Y%$eC|)hC#Q^TqBo&B>HN^K?_c4+|qv4FA8FSFJiMGq=c* z@91HAc2g1ZGRck3cVyje&HAa0(0zxnIpcIO4R$jWYMlg3ZV6PyY~6gG>XH*zWAzHS ze-MmQkJ5#RPc)xHxl^S2G~Rj;Er*IS&&`bq0VbDpuW}YetKWJI?FgjT3!|h2>eN*! zvi0r>ocj~`^cZyDLW?COv8>)~q94AQ1zEoy+so_^;8{qyGi0sa=xt5hTz^*{Jf*SP zlzqMPtTW}Q)QET2^EkBLvYsqqa`Dvee|mu9soN5o#JQ}7j<@dWWqCmAhZjZD2z}KA zJrlRNy7`d*NKnRH`h)2}gaDP&@}9PP*d1)2_2z?o?9Q^5{e8`QEnAz(w4S{ne1i20 zTaI_r5_pgXI+(AEUINX=zhJ@E!y#4_yStCv_!(6alSOG$gt~%4)uuFA{*Q-4e`~I) z84pULK_U~lb4xV0I+q3^bI$(T^dA~>9IF&o3Iu91O8dFGc#W68TCjb(7luNl5tsPL z`Mh?uC)i%){1qQf{bM}Z`jfS#m`aB&d+TMy_`b30L!H#-0rZIGv*pH=iV%a0Cx8$K z%tYvY*cihzkoTPcSw5R1KkLe|OnEX(A)QT`_>fd;f6?nNQ#y~q z#&O!x;n2HWmG4FI9L1~Gy4yF?f(o%1GLxDP!|ZPMaA)jSKONRlBN~jp%A{8OlEx~L zW)nJCgh833#s?z#AvUgZ-4)GWQf8H28`^%;iwVr)sX#pjJDNWWh-= zo7=I58l`k94aZ6n?Qwc{e*rInXlQ=KKG!HKsh?uuTblxEw!z6HeLj%ViWFgR@`od(J0IT&+zJd zu8ybf75rRFwl{;>hP1`z7kr=9rFsGaHX;~<4t$di#sT~neq-qt7hm9%ZhCKW`V}_V(JIvdOBwlymBX7I1(oTl_`{&tr@y5fr#h}goM3glqA6xHQMI1r|oImwmogzwpnf4wmogzwl!_r znpgMUZ+&mQU++(>ohNslh>Tp7Re2)L*$b#v+~Ms*vNeN2;1e6xamFr1p9?spn58f< zEPjQW-}m~i6Ufizcuj;zEIAzogEj#*E7EDXVJ(gQlc$)00B}Te`bsav=Da7;-doz& zc}=8zA~>VpNhTW4pt83)ZeQ>q*QK{0%a)5 z&__4U9ZeZzM=UL{*I25333^M#+^PxFtO|n%`C~L#+BmBEEu65ACtzImrr&2ylOZu+ zG0;@H4y-tr zSuJS#sn8!N6iNR!^uVuhC$-rpMs7W+nVDE>V)lCy0Jw~shci5n`ieXS&>}6Ch5ZIW zsYvqyB&Qwz&P-(=KSuG?QHMAfP4a%L|C!?bJqx+{n0o z?vG_>Rfc!X?c-^`JBOv;ry;|Gv0dvF@z~482?F{bR{iv$Ny3w{#a64;N|2uCsxct< z;_MDPVSsNP>xI*9M9-RclH=yKX2n{YGoyFGWM~jb&$+9zmb8^Jyw!-riMOI`kFhfl zMkt3k0{Fb#^II~CtBKJ>#lKXLYNcI?1KUP163`-U2Af_&xDny|{KdFzP|bNLh}VDe ziANM!j%#)<*GITpkVc@R&fA5558kNL>vl_x%K%(j^}EaG>qe#LqXvf9lV&zC6*dMu zv3aoc{<@=XK17;SZ^pO)NDS(c=|1z^D97s+ItEwNJ$aMADJzq#{$x>PbES&^z+%)j z&${>g-5~FA%X+qcDzd4dUf{F;-s%_&Q}f6%CGYllD4#DxD6xc3uN(brwj2fhQ*5b{ zU=YxE9X;vi>ZfYm_?rgn3Y8uHt@`@rB_Oe+Hi1}2*PNt96uQNTbak(-|3r@vpR64i z4m01bPv4X*nSi}L#g#WNxw3wt#tT24^IpS&@Rpuy{hhiypr!e}lQVBC0@<~@^_^tI{}&j++(+n_-_`lC8f{&21ACGBIySxjQ#5-k1WJ)t z>oQ?;`s1K`vaKXZuBF{qEN}dV-VLB^E;IBKM6|<1$8Lm2o>Irn80L3 zE}R#~3^6#iz2+xb;rKRK-o!KG(k36>n+2dwBv!5rKVcR#PJ@?=-zBa^=mYRDj_w3L z>h|DjwO5%f4IaHqCx2^fVsjmex@y)fxF4APv@Is?sxN6IN`DjH>|;l3-X3#F1{l~u zhW%+Sy+fW&LGX$rfN1X&IA`&dvPO2-Ngc9{^;=L5FK?MXHRr{rNQPzIS3VOZQJa8cjx+>#w>aY`>t3 z|HJJ&^m^%jOsj`EIj`Q}+ephodgXfk0e^tSW)pP1jgOuK4A!zM{OQ0i&@$_z%&Nhm zwG16ldmQ4KV2C(E7p+_Hp3^423z3o(Z@;r}Ao|Ji@fnx=kc(TLCk#N^vp^k?almR4 ztPfA`MrjuZT_h;}%U*||UlRO$)xNeT_JeTSy3v7xuBNV79Kr*X&uVMaIm4sjaYAluK@s9p8~>i?x$ z3c_*lQltfTvtza#1Nrn&3_;SD>-1!Ni(90z9W9WTc?Od3#B5w&$njN=>ZKN4?f zt=p0u_h4z8>_F>Zng785=;ov`!XnYOqRMluf`KyrrzjFK6C)$X|1DC@%EX+;~J5hf;C{-%IX{}VLWJGK^kb&95+7z=zAeyQU!{%ineg1y``hCH?paT&E z-GEe~QLe4z4mk1q9rqJE#4vE2k~T?8aggbVmW_9jNr{9}dnVNSx;WYpo-T;0x!4-ZB)b5E;181mV3Or5>d$GYk&THoOkfd&veF_9S%y-qIOT9M z3TxhitfuXsP-ejkUfn^BJTtX?B8xzCFy;B;&Qwb>OEZv#jkzfe9b9>mCbJC`SQHs6 zdzh$^aAb&;xmd$>)&Uk0IUJO9MdFz7DXd>KIQ`MhO`!=>ZGt4xM&!r=^A=`>fD#mq zt;l$B4YQO&wt7++u!~>h_2kHAAgyZi8b2C;FT(H|!Ru*Gq59w$R){@nO`!_o1pFZ` zJppqbz^?ua;Vg~iixSlWdseLt-dS#-6s7Rn}_&b~G>_YsvsDK}bZdAaRb) zGGa+Eo-WyJvNZMg)-VaXrU=pHiU>oZNuO;Dh8@peLjvST7r22RkBn_Gs(>*tyt_p- zl5C1pXoVvKP3?t5Ft2bp8hEh49*QwN@E)>1Uhs7Hb2t%ySh1Qs&Y?v}z?#Cx5^`NA zkiUckb;sFFKmxP{zM6*PdUQ{sZ+?UoonZ)capjbLSAE|;0AImy@=gxo!03gtZ%;lU zcfTl=&7%kp&6S@~C|ST$+yVSS8r6{*0c{52*gD|38-@a3L$AaKv*HpYBY6z~-536& z43~T8=Z9JC*OxD>XxI#*$p(7m#J==smr2ev&vnp&Ou0Zt?DW~wY9iJH6Y{^G`HR@a zyCK3h$RREUyewL#IM?eIIhyI+Ow>VP*ITv?Y$HwTZ$MCvZ5q||y_>z{)k(+`3 zmKywBT(}0+c`FF&4ch`A5`im+Z)#C)b*ThLL+FnNR!N1Ejm(7B z0Ux}R|C=*`H{_n&*#R(ITyaRHQjaX3#`<~8RX|%DFjTT19Js0Uiysw^hLx91EhOqT z{0|0w(1J9i%S5IGs$@xqG|pO3tty%w$>KKR1t{sSaUtT1TC!gzP#pJblz615=9KyM z8LByy1IJ{6qzL2%RuO`i8ALP}q`(1sXVci~YNLlf~mD>6dbdc};=ZSOjQZzRK z!nbc+ zR%Xi6HkBE2Ob_E`OCr+6X$I|4{4z&PffK^=`DL2%aYul5g=n*;gFOQ(u$1aT)}IN} z2^B6pF$l+8L{txw?Kxt6W)(2a8R~m6QIahL2q6-rLAx#+W~nW@!ojN`a0l_WuI&g# z?35^$is%DM=*z;%)|5_gf~G{oPm=3((aG~5A;GJ{kogMb{b2rZ7Ah1Bm*k#*m6=Qe zMj&DJ@+|-*e1uX|6<(y>3VWbe^BQo}O+017;{4+yAX0Cm!U6u$Ertnqa~Q=+P>zsX zl(ZVbqi{FL&WS~>8|F=QMXbXtGTwd}mox9|SXwCobetQ#f9BxxDnW=XkWjVGc|89J z$)r#&Q+>3eiaBqK$VI}gUlyHhFhsFWQo zsABV}^QSG*z#fYi^=*`R{&Xlbc_VZKn^>=yM1>n>k|pDUx$u&)@*kw2_PLcJ8*6O! z8P{?(2pHnh2~p9HB!U?lHv(wt;L${AJEln3v6jjZ2y&BBS^qdj4D)=lsNdfa12YvXJ z+?6~g11?L)htsm&GRmrQ?!qjP%K9H+i!@w(&LJ${*{qS8l_+_~NsW&Gytr{N*$%RH z(64znzn)&-`r|t5^n2^u_)mQ^8I^f&Nxy7BK<$#O<2M9Fe?Gs>97g-`IG`Y1KiB4+ ze95ONZ;XpCXJWpzkZt=gT>%i@&3OjpgYeWH{yJppfAtrx?T;Q&7Lk#+U&d6Neq!Fl zj289MOBBrpeHy)s1Q^%F{bhcC+!X4R)R$YG`5Sg3pBGp=_@YEhW}_|EBFE4wMLpZy z$}%B%{6)#qs=!{#<7`sVJjTuM*A7S@)1s$eTVfLIf4prumkuOPH~HVwR~JJ zM|}~--5;3mj=Vki@MhWwU?a?=MNM19mqT{fNz|Q4E&t5Em%~wREma&}D^*naIZ?Vr z>uN@NeM{k!CUDey%lA!t_1bk<_iFte`fudr?dH>;@Sfy5^i^t75H=(@O zptIk^?CbjJdFAM==L}%+@iS0=PoMDL_te|)so9jkos8ex)jKocJ^#Nc=+8)`w|ar8 zxA3^zmrUcH@6&9+Uwym#@g@PBd#1cwsc(u<*?0Z7WO;^B&zUkn%qzmbq<_P`_x7K? z28Y=iTiix(UxOdrX<<=DR2prhu~(=wEh+$(yVI0?E|5Wa^C>bv zL~1{|zH~BKm?QNXVwBMV0}7{zheAnhj6MW{JP&0wlSeR~RRJJa)2l?msWq^WBG6TV_KI{o1kR z9u84%%!*`wvR0#`?0miN@7;R*rfjt+Cqa;Na+IvF9SmrBY%ItzN)ZgUf*IP`=K^#O zyZc#q&h8vm@8&&2pKxUkEsdLpUp<;Jj+Kr7LF~Jk=*|ViK>>H(3!V`MdoiVtyVZ+! z{nPyGe`X6SEfuLotiMOPqq1}C<-npj7yPg&grnvfnE)^Cw9HVRQ88&E__`_W%)$$8 zJtKb5r43kt>-n(H!@Hirbxn)nNC#+pPQaYS-A*UZ4X0`m9enk?^V#+7ynb5yeo|R0rTJ>dek&_ zH#gV4zph6sKE1eeYR96%LrC89g_JByaZqHNAZ@b1QfKNM(CF^OJ>BoR)$##*hLUq=_)55YE8Sk_#(|kxq1F2$Dsr zY2r2qPQ|;}eI9aZ9Cy-YGt5LP^F}<8tZBV&h4?e3O!&Imxgop0%l?YzT)I+{)2ob#o&w7(i2^ErB4xm&lrOs3=W$m>(Zo1Uc zd`bWpJ*}xeb%E6`IJ+p_MOw42mv}z@ECSkBQzdhx&L&<;SZY0?-m^CH6DfYYjz$Y* zpw19ADY2j5&x3W|AEI;8`dHz3OX`pJX2`ojv8yzy9JV&uao;!?HCY#k?<MZN(}xBwPi8;$OI*I#2UF;iH9G;4y}B8tXDx6SOS-yh?6Rx1Q`_pwoumz4 z%)Gcc2b$Fzy=`NLbdQMl5_DOM*;|&;F8H3P$_=uY8TYD@?B%H5rLLCWvYphSDo{L8 z@(8=#OGDO}$z6cIrqZp7wlt&%fz_S$4~KS%2U7e*ol7<9Nkw8ZZtcny3zRJDK6qR~ydmhMrYI`O@OXsXjHmC!8GjuZOdv;@2iF zK5tep9*EspXESwMFBjs>-QRlt#U}t_%WZ$r9Bk5V7^xj_C2txJ&;(uZgl(9@Sl=y3 z7e4}g+vSzh;zR_G+RvT>rN({hORk}pKJN>t6R`8Pe2>})tq$_@TgLKEchVE$Fi34j zH?pD{FRU?L+%R~5Oxg${c91IaxG(U#Hg9EH(1*a9@l?I?RK2#a{;lqWMi9XIE?E1p z*#~3%P3n(nkvMp@@+_P}?OGAqUvUp(s&{6?nR_QMiWr<-FpJfP^$55ICtLgjV7nMP zpteml3rfwPf;8}pkQUp2l^5j2SS*}Kx~wpN!-r!Gn%huo+Q7}y&X<1-6}eqCf{eQh zN6Has_Ch4S^WYWOwWDt@Ff{@UvVSx5*I+s>a>S#(!`z3S=e;|N^XkmJH2`9NEMW*d zGilqD6D`v7bGU@iG3r12-Z_1acVpZgjYjvp9w&Ng|JL%zeC#pi#uRwkbxzoC)jk&C zvQ%_a0@cY*p`E?^jtaiV4N&ll#*So=(X2P!O?`n!>pJ;WE2>uye&Qsspzs_ut&Fkn zUQd&J84-fd{JyJP(x`IOt`YowqHsZLlod7il4Y5A&$E7xwsy|4dak^3&l1}-_77y# z)7dnwM2v$tDVhsEt=fnK4xEXLBaMk045-$tu~})-isE}yH-{8l)NuwjV(`N=!cllk zdn|}JjL`s(g$40$*Sn(Cs;YT@p0SMZ*ri!ZOSQRD#rmcKD5TfylrQ;8!Q{Y-rGYX) zErlqJF1}!pZJ>f6MT*Q4R*e!mtiU=rMy0ZdD`n#k8$M8yGfc>2%L77R@}*EOMgsV4 z3EBnc*9_;w<}8JA`-+D?FBvyo#kk09d;PD3JXl5Z^R9}1=*VzAPTqWRgs ze!x;E2CCU$d={f;#XOdRsEXigL1ac78aRt%vl=^tibO&*C&L77NZHt-i1r_2&XC6(0FTBTA-#Svj{BDV-ql(xu8&Qu;>+u~MYX2+D~ z7+=%TVxX`Qw;m8&98uGtAx>&SwH%8MMQ&p95RPCCp{-bMboHqEkx9eR0BM^^H!nBm z%k|d@CUFWRFkl+Hd+VJc4FV4^a2!c5aubX?--C)49XTzD47##nQBdC!yQmO+_B_qe zng;m%zOeRq+m!$3`Q_sM_TAh2b%NrrSR?_a+&Cl_b3(OK1Wxb_cenX zN8xs>$sUfd)TVRGOr3|;akk2VA&MMftMAw=AaM8$w;!3icN1 zYI+Q$q)B#(?vtT1B)sy?9T0Rarg%jx$rS7TdP1#0B0n;Ru@Uy|du>yVvGe%3!LoWF z+_eh)NVn*Dt7Ov28K!WrQ^V@I>KO-S9M^U^ej8sMUa0&}9%cZbjKtn3Yb!# zE1Bz%qJxv7 zTi2=C)zE%6MIP{KWpC}9{oY6Kr>;AhCIdKr}%rsg{K zaiPz15~p7VmpgcB(+hv75BT_e2-C+o&lB+ZeB^p8YbErva=YH`5aEvVd;NT{t`GXy zoOCbP{T$7%VDRzz+Wm^D_3gwvdGM%QbllyQCyaYYTLe@@FShV)i+tQY{i7q(GCb=m z#7|w8sCL!48*1npZh)1+&|rsQUpJa3QH#3|WLsOI+sve>Ry}fYsMEf7-QQ8$y4cfe z-I^Tgu5DZG@3v`Ai}2QUWC-$JcVa+$Y1r3;dg(gKrMNa7=0m#noaB?=nvC=&*m_9y z&TKnDe*y5em2tG)l&RY+8f3K;iC+ASlrQJ+;+^5|Id$~;ig-MCNhX^yvd5|MVp@CYzk>5+_Ct(cPCs=;nZR~v>{vfO3L zBY;TqpQ~+?*S=mH^3`(`5Y<>r{g4WFB^%)W|G+DR>yI<^O%b={=Ru3iuM<_i>qYF7 zFMiLP+(AmcoY)4PN6jP0>{IDC7s>{`pKo4X)I-tbhdbr{@D znz~xMefzN1uJ}3_09>^#11Y*V4Vn^+Jmg(SM^ImI846lG!}Y#>IyIlZdrAfk7o+y< z+CS2n^)3NNiQDxqQNw6zI)>wY8%}wI?Jtz()x2%9+SiT0HE6CM_W14FXVG3fhkXgQ z{?Cmq>vvn&rahplah4@$yo`QGH~q%h*D_TvE26ce2TYzFN$#q_Zc+x9T%A=QTdKm~ zhA{3J$%SZ)vcVOY1`RtOY*f;6PZXv#yq=NJ>zbAX>BnR(^6J~_Hh2GMIT~om|xcHDeo-0J9P zaB(pqR8^HPw{zB}?DCww$7au)#CX&vdyB>`A?|QDLXQ_m0#y z`v)^_OEz|s(27&&Bh7n43X0wyuL=k#G`Y8O%t8`cff|?l9SH46p1Q1;T_k+FpIzt; zp}D6C%I$be?PwA(t2o7G-y`})?%^wU?~P&*OPc=6S=H!gOf8dBYE-qDG*01byg^`M zqA2=FdA~-sGCGV@HWv+qX&|Z7&y#&q&z~nsKTA2)F$gDcxrRv|;~m~^3nl;|f=^1M zR3iMZF_NfW3^NH3jhH8-CZ5De8d1*sQ?!i5f)fLIf`$8w zl`CmU}Yk z1^!S*3VI|xTd=P`s75YUQQMkq_cVxDAw0g`PmW^#dw+etm@KW@dOkRas&kdOO8e*G z=lgaw9eeQo^>ozhCV91q#PH8M>C5@^`6aFn5Lsp8{PF(P=tIH{=KJuWk9y)vNTBb# zd_voP6#bB$N|w(1$yp01ZQ1yHj^{np{urJFK4i|tvp?p~iAd$#tz?#4wgpNE)F`T` zL2TRb+$B~UKSgE%-*3#uXMz@-GM-scBiQgl{gdQE+P}PoGj!+1)Y;^Qp$Z#T)EnCkOhC(pqz4NnQ@`&`70e(Oj*?|)V6>~&wsl~IJDC#5{_a`vye7e&0fScI>De^zNlhi)c1wFt25o)T{K{QO z>%4w` z>6Usl|$zlcs(Cp0Eooi+OHE7O5=%@pS_%5-ieIXLH4${XTbHA4&_Ao zzOSXWAwwoMpy=nQT@DwmVaAo0yX zYm}?7MQ+_ufE7AoQ`u6$J+-tJHEHA29Kb!LtO2{|!Y;c>i!V+UdZw9EU}@}7#ebPFlu~Y`w=9hy{S-=g@AzO%C4}j;Z+U+HbJ`J zT-V>LB{-|?Q0Kl^_w~zA(^oA{+p^Lxcb&iY)Ct{?zyGW}Bzy5zbnP;^od*T^P-W{{ z8a8bI=|itMSW<`>*)9UpHQcP?RgWh@Kbi1l1EaYK`{b*Z z$xyFXL8p1)5mRkH&V^e()aoldT+-7}iL<_%WMNzRr^5!mGUQP)4AdZaYA}$#+#^^I zUJDpYN95tG1S2~E?2M|c&3~HJN8Bm}$pzwP3o9Eyo9{xb1(;=gbEmhG_ad&bKJn=# z^#rXBxmR?PcN6?hp}M(CePg9kB(Q7Mh&WHnxb$jsW?g=20&$PHR&the;^P%c-}mCg zCvPJ#D?6(Rd*~Pc89$@;A?%p7cYm%J97Cy~!(O;2YeH5Dco`S}nHgQa&gKi>pAAivK*dQ!519+AwEVmRC_L3=Gp4mUZ@cLv8QEQPYfob0{N6VY$58 zpDMd?RV)OvX+WKV(9`<311RqVb&{)T%2lJ<`u6%pqCk$eVJR{S0zp_(xJwkEgsKI20 zV-UA=a&{r&U}5=x|4?$K{nZ7^bmeGG#*(!nY`>^4G20StlSLGh8ZZjRuMhtTTGPaC z#M(qC3h?aTMvU)8@;pB;lvb(WS=WJC3JQ}*jxE-9EUB-S(V?OX7=~*qMy~0DPqBj3 zEdEJzSdwfL(h%I7@2V?ITTP~yCP=19MJpuTHLs*2Ox16rrZ|PNh-%#82+-_QYzdhD zV}hIUR0eD&6V-%lb(Ksmh%XYuZGXbbpu(Mt>?cYy_a$Oz6>*_zQ9?B&LJ3vD}w{3&LG~Vu7;p>9n~``s}ge2XD1COEDo@3P-bAjqOj|cLW-31g;W~O z8;CX#&;2d2Iansc?I!vK7!6j^U=};593q>vDo-BDrhzFUvwuzAN2RthrTS^Gc!n(8 zS%?Py$ZhBr zo|f*gQq2o?LyoftGUE%YSv8gK1UlvKu$qdLn`{SqRw>!-bhWqtyB;hHD?2I^@cp{o z9MtVr<&Qf45J-zM>|XwyHnfLhyxaLXU7XayQnE>R=T072k$Ltt{nZ+mN09fDTUWEQ z+vUsCH?pF8V#-kn=u3VntuAdM0KrT*v9C0P`%sH(%`9de8EIV=H@1me`2e|^m-WQ0 z&-k`Y?a<66@bmQhynoB$kwYB*1>Si_+r;f1>?>R3$=BlQ@7~NVQRuC36JQ`eGgF_` z2!DBbiS6d-mW7O%i^R*ze5nc2Xs=Dz^k9^} z+BRMo1CCB$(@Hm;gMVqpQ3c9siYX2u=ZP9h%;N^+^xL@^iq>oEU^&VNBf(!{VCq50 z4ToR~DNCIgVl^0tX<`|)wjD+ow0db6v~p{}UaF;KOZ6}9J23{7NGDO=+pZb{>sq!i zmjGOVZ9=Y~ow9!p{-GL2#kj^q6=82*?(CrCD0*%w4X^5t6N7oU&LFYWAg(r@BACuB zUg`SIagspaMCG%#0n3ho2&U{wDrUDUkGai$yT|%IyT=3n($mC9A?4%;#?!U|&yE34 zwhWOmtMZ6^)hzsSeJ=e2%w#pdvF#; zn7RJsut|)uGCHL)I(@Ys8V0LXh5ovAkzj7!)NmH}=M>^-_6@9vBL9bB=jOn&HjQWp z)w$k34V*)Ksvz<@KWx_NCHYtxUimZ+s7}2v=C!BuwEo)Ffa=QzK-`Apuhtyvu?+IW z1f(kOR5?!gcwm5?n@iKbI7u0jlDm%21BScKw|vf7ZtWT$z=@#oM{H5>s+?PU8$ryY!^tl!`n!zao3Peej58Rh*z@PA@&c zj+>pIz(r0kDTDW$@rT)`BTmo$6**kjJtkVYymp$ia@&BfFgr`alb-%Dziue!DQQQ4I=-w_XXFdk4A@Qi_4^ydq4jZJpDoeQsrJK z)_Xnx&d%#UxDfL_AMV=o?(kEwB+Z%m{{+#Q;2qu4cSG@9FVJJd`~rWgBtyW8E`502j`Rm358IkT998t`)H zPoumaJ<-XzHSvB%a|1bE@C4B;o{n#J#KSW+;YRW1eufC>=A=<$d{U$R`-JzLYh&#j z$-Qm3dVz=B=Mo0ejFWzitx^M3x@g|K5xM{yruBy_8{e9pHEgA}YD_$Fe!usWlgsjjK$ z9LeO$%*b9}k>s3q;`dKG+o4kUt60l<1|BymO*2iNI|2z|V4Lq6i z3EWO+h&9%wt>BIxu0}L&K09SJ%i=kAf3*3t_!deJz4CB)c3j&hR2OP01BjLGUjKYX z)vT9yOQUYyFnQ)yk9M9gkNb_AEph?t^rryNsU$lxNMq`p2wU(2vT9pjr3A6sU0>DU>%@mW@AmhiN=w}wdhUre&fjHjG_1uQ zti2#XL=rK@5MhRE5QhhF{#w8277Fv{N0sdF#D8ULe;>ch`b(}9kQcDxnB*?%C&CFF z5?hRg^BsR~(rngSd5@<36&t2R z_>3)rROI#7?9vKua1USf>W)$e;Q-y`m$Ftfm#+%=J*&p=j9CISOnW>qh+@E!F_n_p z5u%w#j1@w{RNeeg33=?{uioW;tA`xSwqec)8sg*w6ROC(q8Kv#~~8-S#6W z{;a{8<$Zi`^Na$DStA=ocu(Jq^4;*H4-8rasKQiR)>H42HOcd)(sM55eJrEXW{1p} zQa6Q0(}Zc+AJ?p#f50(#;B#*C{rJARQ@=u6m1(dD&9+yBlAI3@wGul?zdMR*pwZk}@}cD)1# zoEN2!bxI<*Smi5&=iqo(^xxXsZMLo6d_2b;sv{vdS;Z>{$;NP7QBorC?a_7q3#{kL z4^6`PZRMi)AUFMT(etqhKwsK&0G~vl<(Ozw96^A4s1n#t5OQ*a_tys50^1YMW#w&@ zCyoX5-24OdTGtjs64Sw03P~O)=Z#8P|{h+FaTmq>GY!tO{a?|YB%SLajdNHId6Ow_=&`M}UsCsU+ zd~qdL4PEg+87!!A1zeKmX$4!yWejy&N5C@oW>MK>%Qn>A(Q65^HgPjp^FY+(bIBQO zS{SL1`L}?wKJ-Bf`;MuTh(?)BcI@l*Un%Va;0o_qx z9~Ub_2(Nd$eQ_0Ezpk_`Q(q#FzCY@a^8SG>O&$^I2fgZxFk&ao8w2CBOiW2A&S+`c zK^({cCU#vo24zbxQzD=lD1fU@q|HRcLc|2LD%sn+{Kv{d#7LwB#~@{AX8)f<*8g)T zN~Fyz&L|?r$|laq#m>RZ$jHUa$tEr&F2uqn%qS+t%+4;#PxSvoK>>1rUCEl-nY&mJ zu`x36Vuvm!lgt-Mez^_HR5!eRT!`g^+`nzSIv~gZM-Us78^pq7O9eT?i${|`j4dW^K!vd_ z!E7<)T7Q%ChPXl21Svq}iYv#uY|00*ShUVPqt5s@qSusnQJJ3fc{Vj$Tm@tHi8XPv zmUv_62y2J6MBOr{izxe@Z-JC!?}Vx?vX$5rYJ;)MZ_XbtznZ_*w+EXv9H$I&mv;Bk73Pmw&~ZK$~KM&)0(8P~{lr z!`KVuifSm9kTxRSaO4Q3(*6muB= zqj3d|r2p?^hENuTNqyLl=R;bY1G@jB;PE0}3EDCXN`BzMaJfbbImO>`ZNs?eW1KbVMri0*V1D*}nCgWf_1L%!k8;piDYY}dkw&C>% zDp2085kfiyq+19Z^U2Ae1AGPyIR6rl`~U;K`QR~t4kyCDehwhEh&5@qMCCu8*_@Xx zAP0WOi&=|JqPYdwHGyfx-ZF9qx`Ee(-BO+kbw-pbFw7J&S*FAxpGSGkyL7)(evM7k z>7$MJZ3lPs2{o}{e$jjK&#C27AQ7oeWcwG^D)n*u_a zSidtSpkYjlVOhzq8|-pqJ>cY4=Y=T2)h~6?ZtJvHYy}Wz^4W^6$g)Ar=UF9_8=Uue zH;}b>{z5vS#0X?HEbzh87swS{{p$K5S#%&@OIxJ_`mk5&nPsOMs&(*zZo{M9AG`Z} z+Ge&>OISV(ws3+pc0xGX8_@z&n*~_iDM*v-gtQAHbAYA|lHOsash(H=QO~Sj0aiV# zz5=55m!{dj`9&JvV7OZeNyEI(2Bf-H9S6kvJZ0KH<#7U|@7=Qmw%$t55~?~yT?>Tf zFh#Ae!=nVD9!GB-+9l6krS=r|Yhl|TK%9qiKAXYea)Zj<2b^m>h%iBlF+d>w90Fnx z$sXk?C|5i*iv2Ti2pjSM|j&1G5Qf4(6+#U&y_atR8X^U)q2BF4O1K- z$OZy3Y#;~+f+I`-5V-(R2?h`t{D*`N1hW4j{R9He|Bw=W>J9X60{s-K)>(43Oa$y$ z?DsW8Dl;6Uw(NEe!55xE%s}&GVwgm>40o1%&xeVaTEhPb`pk8m?W#&p-0bOZo#+j( z{Tlgkhw?zby)5G(6-{SkgJK+or9A`y+Myl$yM_f8q8z27vG-9PQo${;NJqf_u-shp zER<{b#F(7J^}~DJK-FW{ugx@A&9s25EUn9#&Hj_DE3&zxvTC3$Y^?DwaaI#^Xk5?x ziqMmY*jG+BQH3Rh@Sf89wtl(>&k=O3iChse+vO|Up^pcbnC|J-&$QA&FY;{U5Fo7q z3GMUO{LET_dfTaLQt?FuWPR0Z;$QN;x?5C0sQPjlJ>SSzzK|;TazTzv?`W$)M_~aH zQmMx*8~^!=<0ljF%V7QkBbFJzj5cL{p^slSOEooq4m4fymBXQ#thU=WowV`O#R1Uv zG)RM{cUxtosxJ%0q?dq9?LbAPt}hnHW(lqqpw3#*X_h`zd);UORA(_&_EkZr6s9!H zJc3w(B69f}#u(>>X{nXf)2SKws$pW3kog>Wf)!uymrGR65yX=SqV#@rje zn${dBYG3mJIC;EO#u75j>XVwdQ&$@{GFj~Lz^AUSMAoU4y#k0T(x{aO`Il=bZ*kZM zai_En39$lDPvdnjza?@y<8}rytBM(IW4<$EIO{gS<#6iDb6R!ZI+5l)xXx*Vpd)r2 zbqN6Y8kkBcn^x_ap=)`fHRyDzbvLP;sy6PLVf(Z0f)?n}sz@iTd%k?88~4iG2GX-e z?W8(1*}4d{5GJQV`{zx05gHztgiz}dlt5MNU_OW!t-F)(|I^pG$1~mbaeSM@gsd>i zu%ePvCBj3^lujgSxS42LxAS3J4&6@Mh`Zdi^f)c8oTA8Nm`pJve$`aW@kUHYwPHvn ztKI8)p4aPnoG>Z#0nbd$+wr}UB{BURITgv#{gAcrvx=CkS zO0uKUGz+||8prJBj!lghh>u)(S`coz(w_41zJjFKD~6+6R8YZj*-h?3lq>VU59szg z_nvJ>$YtfKE>5ve#R;!zj_>q-vd{$;$bCsIUJG5fULWs3l)ou{NqQhNavyl?x)jfE zd2DgbvbQc(FV*yO{n&LUrbeE~k7DNv=Ssb5%qL6agT!IyTIP>;oGv#cJS8EMF?!Kv zK}DxuEe@=-TEc3{u9ZWJ%e!6sQt5L88#dJ@PaW;2cDOcG+CVZbCrDfengRpk5VRWb zU9jiOy4l<=i;0D_1|o&ynv*{-7&f~NkyP14tx8|tWt}h`>T`ewTR6pH4Th^@H!>bQ z5oKp;xfQg*_e88d|7C!_F}siP+6BuAeE!+nCV`<)P6jJD%GsBe}4jVkhu0IF8ri zRy(HZFj(zfVR7=@6f)qAtvf#Nm+$u2lI7H}dZB7lWJbzR`Ag~pp&tEFdkOax0BKrZ z<6k1O7Bpz>R2vJ@avS+OJTbL&unz8nI%!^|5S&qR){_n%C{`9WtC$=auZn0# z?X9pdG1jHoI6D66;iJhhmpDc=sZ`WZ2zr;b=gg&Br9KqPQXTW|{WTt4ai=jVm^+h9cTD$evLd9#OqXc4_W7 z>rh4iHlH5FLSB3iBwl_n3(t6+_$}AAR_&AWXB_f9XpILZY|w|?Ml0pF%4Kp?NjQ~Q z|H%(4UlxAz1RX`g-YcaPBh@L+Z731_MR|~UGA95@P}heVqy0NgsJ54nQX4Y>s%^Rn zwUIL0)&ReApp1OIT?hYZmG%-8p9s7He-MgWrC~~>06&c#y|a}pRi*I=w^jFcr13SUT;G7cgiPd2gpuo zdjFPL@ZVtakj)AVt?VVr3Ft^V@=?1#;>)RRs2&t|2k7%$&IfeTxAP7?DIAda$esvt zMuLqxBbT3kLu5+Mq3L#J8y&mT}EdHFYzkMUd;GQ9^9t~(AX_&Ks)k8uFvdW9)zot&P==y~B$UMmeB2;8}a zztu^^P22ks8P)cwMN>_@4^5c?=YYwYDV8Ddj#-8xF<=h)U|OFI@U5TtYTAA|PJgM{ z5w{r!&g#Y@)g-=c00gBYW>KyyC0hvb;C~}_N#=i`A^9hOX%xm<9h#>)-*R_eu2Z}e zQTPc2Uboo9OV3HGF zU?QF-7C12n*NIYQ#+UCjjKc!G`F;~OHP}a(^D{c^u4;0XKa(gTFKlG*s3tGhU~_Ce z9|tfowuEVCc12{d*nnLTg?s-v{B|Ri6>@!ivm@p`W>uNczZ@$xbnCsaGg0i~QHUG#?r#1k zyyM(=C?qj$U46~DpD@esmt_~#;DFBR2lP1jPuM_Ohx~5JHS1)V(%gxWS$K^8t+&J4 zK!v^S|3y2Px#Xn0yF!-o6dWtDEEc1|3Y-rV0WwF zEGx{_o9DW<@fDk_JL#r(PAr_yGQoVJp98cVD~7E)X{PmFFD&x*x|MgI{+}k6*k^oP ieI{7e^)OKetDBwJR**-xL#o-y-$7NkZ$IpDMD;ISuDfOc diff --git a/docs/manual/.buildinfo b/docs/manual/.buildinfo index 6c7fe65..9a25de7 100644 --- a/docs/manual/.buildinfo +++ b/docs/manual/.buildinfo @@ -1,4 +1,4 @@ # Sphinx build info version 1 # This file hashes the configuration used when building these files. When it is not found, a full rebuild will be done. -config: ab3a817981de13f74ede3061942f75d2 +config: 068d3fe32e7f0c111ab64548184dd063 tags: 645f666f9bcd5a90fca523b33c5a78b7 diff --git a/docs/manual/_static/documentation_options.js b/docs/manual/_static/documentation_options.js index 217caab..19fceab 100644 --- a/docs/manual/_static/documentation_options.js +++ b/docs/manual/_static/documentation_options.js @@ -1,6 +1,6 @@ var DOCUMENTATION_OPTIONS = { URL_ROOT: document.getElementById("documentation_options").getAttribute('data-url_root'), - VERSION: '0.8.4 beta', + VERSION: '0.8.5 beta', LANGUAGE: 'en', COLLAPSE_INDEX: false, BUILDER: 'html', diff --git a/docs/manual/examples.html b/docs/manual/examples.html index a592c9c..836350d 100644 --- a/docs/manual/examples.html +++ b/docs/manual/examples.html @@ -6,7 +6,7 @@ - Code Examples - Reticulum Network Stack 0.8.4 beta documentation + Code Examples - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@

@@ -167,7 +167,7 @@
- Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation
@@ -167,7 +167,7 @@
- Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/genindex.html b/docs/manual/genindex.html index b4f3081..4185a82 100644 --- a/docs/manual/genindex.html +++ b/docs/manual/genindex.html @@ -4,7 +4,7 @@ - Index - Reticulum Network Stack 0.8.4 beta documentation + Index - Reticulum Network Stack 0.8.5 beta documentation @@ -139,7 +139,7 @@
@@ -165,7 +165,7 @@
- Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation @@ -402,10 +402,14 @@
  • get_public_key() (RNS.Identity method)
  • +
  • get_q() (RNS.Link method) + +
  • @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/hardware.html b/docs/manual/hardware.html index dce6bac..4ad57ae 100644 --- a/docs/manual/hardware.html +++ b/docs/manual/hardware.html @@ -6,7 +6,7 @@ - Communications Hardware - Reticulum Network Stack 0.8.4 beta documentation + Communications Hardware - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/index.html b/docs/manual/index.html index fb98341..657ef03 100644 --- a/docs/manual/index.html +++ b/docs/manual/index.html @@ -6,7 +6,7 @@ - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/interfaces.html b/docs/manual/interfaces.html index c905138..d3ec28a 100644 --- a/docs/manual/interfaces.html +++ b/docs/manual/interfaces.html @@ -6,7 +6,7 @@ - Configuring Interfaces - Reticulum Network Stack 0.8.4 beta documentation + Configuring Interfaces - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/networks.html b/docs/manual/networks.html index 315ce47..ecba276 100644 --- a/docs/manual/networks.html +++ b/docs/manual/networks.html @@ -6,7 +6,7 @@ - Building Networks - Reticulum Network Stack 0.8.4 beta documentation + Building Networks - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/objects.inv b/docs/manual/objects.inv index b86cc353da5eb66f0191e5004b7036ea59124209..12a6136bf66394a040cbb3d83ca6276be3f73c07 100644 GIT binary patch delta 2507 zcmV;+2{iV=6wVZoPXRTNP&|K4Z{s);z2{d5*u~spU>caqTo#VwBtplIA=}fFy$G~K z+e9Q$LsCh5fBhCkiq@AcQtnd%>AlBdsaRE{w6Hy$8 zDdrp-uLP0~0vv`aK!w15_W5ynF%JDd%lz!r0F)i1rEYy$>h~uFE zu0xf`Bj$o+NJgtg1k^>){mlMh>(H)HFx|{v=9_T#wq0(d6cU1wT_LcYAr;?WZsx&X z)7KFaD2i|{c$kpvgWLGNLC!K-0K%D<=OIK%hJHRjI}NVI|2}_T`~P(wRu{_~sNwwYl^?vjvNyqYIh$_gkKxO7{qj0r zK5t%JHKKyS?OH^|E|1iuOc{omzBPdQa#c*9S)dY4w&QT*6bgW3$2IuDh8JxhRtj2qV z!f266CCn*{mW26wGktjV*Ds6tauaU+#r$o%30LzVe0f{B2z{T=SJPMDZFEC2BR@@N zo43G4f1~N1{`_BJYMek-^#DhhsJwLb#G;9=>45WI=izfOojFZg(d3j}SaRLNzSA~j zN_=!am$2rT9xT;m!fnWZoX~Q|52?td2|jZAcCXm4*3G)a*lpE!j5j5R5v zWo~ojkZcFL<=B-*3*pYw9k4at^zuhjZa%XxYn`Ti` z#5Y?3i;{_7pkluTDcJ%e7SpfHp1{DkFh8E8#dcea-m^Cw^klJq-n_4DvuK@}Te`5$ zDKcBustjL?$td7tzMKW`E7{lU<6w)~XzJ>9>MuvoS{C+KK8@;OT9(cxxS?ZRD{6S# ze~e$FSmu1qfQ4dtaI6V#@Q&B)@M`WtI1i*e0PC>e?p$@SlR!yhC#SU2l@TPxP<(D8 zJDG6#6_+(-5<;0JA`HijSH7K5?^SLwyLusVi&FcooHfPG0T()IOTxsIN%z6@KAaw4 z@$!-M>I{^`qXl?QGmdYhm%%<#!+xCyf4Uv8dQ1x1!@0Cs(zq5M#gIn?vGtHuFl_MV zRLce|&rGaF=|w zNGfuijH@~rJ8+fPZO|r14x;f-1i2XBo9|*81bvsA=dDqOwqq2<5#r)HfA67!8Dj5p zXw&pI!H{rtq}Lg9Kp41u(NeV{*J)2Rq@kOW*{f7vAOA(=ay8`cDr*zvDVBk!umeib zfIAinWJuMC=~a?$;JNo3{HvOOUMcXB8q=sl^ky{1-dtZY_@125d1ejbp0Z;BjYDH3 zb5RX7%>bV#NGCk#2hL55e>^~bky!5mlkC9n4mcxOjDI$bsIDqOU;Yb7AtXheXYQ*Q z)i!kRD(t*awwRbwr}p$$R;_+e=}X)oJC8lmLt4C zzR>}3!D!M@D+C=Bkr-o4gZ=Z{AA{__Cjk2Huh;z=ON8v8`4?g zFR%VZW$Bbxk`ftZb=?ob2VHx4M&0o0vWK5@3Tt=J2t)N25?<%iT*dC7A!!P(I19Zg zUR^3DIUdqkNldRhe^@57&;UJHO3*6}&=ryUv`7T8lUkI}UM-FpL4(1vR;XSD5#`Yk ze=}QovxGoFx~RczG{BBeD4D$)MLf86wvY9-13}f3vGdb?bwgz#2&N*zI(KI(n>Er_ zbxpw^3X;S!l6B(ahSRea8dTYibjUSx2JBp&U`voS%{6^hf8E|@v(;*qRcw`C@k%?c z7lsN|Mj6-Kax0%CJ)49!->)GxdAJ&tV`(%ma@k8lHM~k$8`uMdTa}@O+zwo4ZcE4) zflZgGXm=bV79E>Jbxk>Rt?sXYdZ#U{yI)_@Sbe{yuCDZWqE0-|szxo~nKOBg5?7B- z581e(2HrNXe-|_^G~Zo0xjc{fTwxbjw$X&Aun3{b2t<+#%JOF)=+F}^y@QwmRyA5s z$cC;d54$`P?E$KOK-1ZR_Nx1`aK=T+fv)sHYIsj|k=7`+?Qn+D&U)z`q+WBECF!y# zchhS-LAR3of{9~$qtUBX^_WkJ(Uzkqv9ah`;#ypNe-J>hjeu{!EtL;^s^UhS{|;-d z%CGgj@Azbbr=+%&yQ{5N&2H7CRLJiO?LvBCz%3P-qvX$sY-U+j`Hcj(MAXRyY*tbv zEOdSl*h@T>=#G#$+E@}`6dk2=Ab{$z*_LBvr}=3$yP5oUmL3?&kMJm0hT74jf<`ov zKZKF{bzZCR&>U}Fky@grSn?AWIJNRXRdd%^6nRHaovqmUQe#^h7Y@m9@6Zx1tid@d>tXK8hx0$ VqNec!{`cUv(fH5Z{{h!bjB4z>+Xest delta 2481 zcmV;i2~PIT6u=aaPXRQMP&|LjZsRx>-RCO=%%FEM&;|6SHv`9U5}{(pknOI{Yy?`O zZ6cDWCaI)6Uq6>3MeAjYlxvkhI_L0`y1Wlc4wmc5L$Tju=H;h9qXcp8{q8Xg7jPEg z$x)=qzy9sr-vtKP!QXcXXK<4lps(2xWf@KajN)O94*r7L4@QKGn#O-O)FOtFz~PR> zgyBdKnxQ1znW?5&9BDkbHd=+5>ACT~%tVHGdM4Fhi>KFOOcsw@$;uhcF%u_yB8uZM z#hjx94&hRqjIww_c)3icP|%R$EFPo5@PTkxF=LN7<#?P>NFWpy6h$}}JWNRT!EM&QLC!K-0K%D<=OK7Who9YEj^dSI1@xT51&vJ-(vo+avKh-cJDn;sTr;A5LQw^!5lz29N9$C8IR3KqP~yjNNOT95Ne@Uvue!WLQBb zvu*I#+%oGJQg@*K+-Yzv{`dL&+W)WfusT`ZKn>@Aul(TMmAwhJ%h_}@e+*xy>zCL0 z@_F;(su2|oZr402c6p>OY07XMQiI`?L2`Ezn7h;VeC9=`iYBM*!jk(Q`c7My zDe=+yT*8`TYOqwN3AZ8taYDBj5R5v zWo&chkZcFta_mZ@g>dKT3fLNNYWXOk+_7#pe*z=wcf)PC)SiqrfniPy*_qzRrdgB} z@y%Airexw5sMxn4C0k&`V)~WY6Brm5=Esw?*sjIsJ$ti3PZsOv&HKtWiq@IA99BeTgORy?eMtsr>@Xn&P$q7m8O)!o*}G_rY|_OAoMl`AB+o+(_cl0z9V~ z$2ZbTXCJ9yzt01md95Ckg7$DO^(7kDf8wJU;)o!&E2LQwf_sS*MJ`2IzH;(E?98-n znj54Xsvz#pa&p-kk>i8xg4~Hv24x&z?n^^B)Qo8^&9&X4f<#49q*gwrv6Ja?`LIL=25%0KY{2q(!U~uoGBo^j z<$FPOQIQ~W%bsy3`&pY&)M1AMll2A)C(l%j#9j}0;xEK-U7)&FEmxWrnRWv1z37{U*|TsBfpX#cCC<~;BiCknUZ^D&UzB}FyPtO3tZb(4BQ>!hohAPA>K`wbi}FfRBE77x z`$72N(q5iX*S)&z;pd#f-W@c;P`!nO*ZDM8zB_10n!-|?h29jeE|rrU59zEVrgu45 zCZo^*Jy=T6D-F;Ulj;W$f6lU2s9psT<NsKISCz>ZHynY|iCJh*qZ zkM+F+PSq2!^V5BGLq#EQrXs;Qc4sP@HNsYPO~D@ulEl)Jb>QQM)3X;ER9TL6$Tf2Y z>|C9oCCHlQn!2iPZ?o8HmCDMu$`59x9oG{>g(|&_Yi`-fCrM9}f6(UpHKZmFXQOf~ zjpjuzYe~q4S1D@)d!TTuGPIEGz;))fgnSWbx=cp9;~25%*d(fJYC+fP{tBpf+QRzs z>q{D|@7L7Tm7bNT6VJ1%Q44tHOrE2})uYoxHg2eaw+-wCjSIzhS59uvBR*%?1(szr z;VEoF$T9+vil^B)}*-%EbW(s{3YJ zj+K?>r`7Cc@-tO>U?@Mrqg)wkN0SN~(M0~{ME1Q_?x8u}x+1kiO|s-CE^unK0#(jk zV^QQCJ$1HXSGSfL+tRpjh;l)rYQ)T8;$6Yp`GKGF0&jS>2R{4`MtJLT`ik1OTX=n! v*l4KrjIYR?1rYa;W|t)(fkNf$2!7S5!_*ZujUVv82e*yJfA0Pd@v~XvoPFTs diff --git a/docs/manual/reference.html b/docs/manual/reference.html index 70bf997..65562f5 100644 --- a/docs/manual/reference.html +++ b/docs/manual/reference.html @@ -6,7 +6,7 @@ - API Reference - Reticulum Network Stack 0.8.4 beta documentation + API Reference - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation @@ -1029,6 +1029,36 @@ ephemeral keys, and offers Forward Secrecy.

    +
    +
    +get_rssi()#
    +
    +
    Returns:
    +

    The physical layer Received Signal Strength Indication if available, otherwise None.

    +
    +
    +
    + +
    +
    +get_snr()#
    +
    +
    Returns:
    +

    The physical layer Signal-to-Noise Ratio if available, otherwise None.

    +
    +
    +
    + +
    +
    +get_q()#
    +
    +
    Returns:
    +

    The physical layer Link Quality if available, otherwise None.

    +
    +
    +
    +

    Packet Receipt

    @@ -2120,6 +2150,9 @@ will announce it.

  • PLAIN_MDU
  • send()
  • resend()
  • +
  • get_rssi()
  • +
  • get_snr()
  • +
  • get_q()
  • PacketReceipt
      diff --git a/docs/manual/search.html b/docs/manual/search.html index 0c42c19..7130410 100644 --- a/docs/manual/search.html +++ b/docs/manual/search.html @@ -4,7 +4,7 @@ - Search - Reticulum Network Stack 0.8.4 beta documentation + Search - Reticulum Network Stack 0.8.5 beta documentation @@ -138,7 +138,7 @@
  • @@ -164,7 +164,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/searchindex.js b/docs/manual/searchindex.js index ced60af..d757502 100644 --- a/docs/manual/searchindex.js +++ b/docs/manual/searchindex.js @@ -1 +1 @@ -Search.setIndex({"docnames": ["examples", "forhumans", "gettingstartedfast", "hardware", "index", "interfaces", "networks", "reference", "support", "understanding", "using", "whatis"], "filenames": ["examples.rst", "forhumans.rst", "gettingstartedfast.rst", "hardware.rst", "index.rst", "interfaces.rst", "networks.rst", "reference.rst", "support.rst", "understanding.rst", "using.rst", "whatis.rst"], "titles": ["Code Examples", "An Explanation of Reticulum for Human Beings", "Getting Started Fast", "Communications Hardware", "Reticulum Network Stack Manual", "Configuring Interfaces", "Building Networks", "API Reference", "Support Reticulum", "Understanding Reticulum", "Using Reticulum on Your System", "What is Reticulum?"], "terms": {"A": [0, 2, 5, 6, 7, 9, 10, 11], "number": [0, 2, 5, 6, 7, 9, 10], "ar": [0, 2, 3, 5, 6, 7, 8, 9, 10, 11], "includ": [0, 3, 4, 5, 7, 9, 11], "sourc": [0, 2, 3, 6, 9, 11], "distribut": [0, 2, 6, 7, 9, 10], "reticulum": [0, 5, 6, 7], "you": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "can": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10], "us": [0, 3, 4, 5, 6, 7, 9], "learn": [0, 2, 8, 9], "how": [0, 2, 3, 5, 6, 9, 10, 11], "write": [0, 2, 5, 7, 9, 10], "your": [0, 2, 3, 4, 5, 6, 8, 9, 11], "own": [0, 2, 6, 7, 9, 10, 11], "program": [0, 3, 4, 5, 7, 9, 11], "The": [0, 2, 3, 4, 5, 6, 7, 11], "demonstr": [0, 5], "bare": [0, 5], "minimum": [0, 5, 6, 7, 9], "setup": [0, 2, 4, 5, 10], "requir": [0, 2, 5, 6, 7, 9, 10, 11], "connect": [0, 3, 4, 5, 6, 7, 9, 10, 11], "network": [0, 3, 5, 7, 9, 10, 11], "from": [0, 2, 3, 5, 6, 7, 9, 10, 11], "In": [0, 2, 3, 5, 6, 7, 9, 10, 11], "about": [0, 2, 3, 5, 6, 7, 9], "five": 0, "line": [0, 2, 4, 5, 6, 9, 10, 11], "have": [0, 2, 3, 5, 6, 7, 9, 10], "stack": [0, 2, 6, 7, 9, 10, 11], "initialis": [0, 7, 10], "readi": [0, 2, 3, 5, 6, 7, 11], "pass": [0, 3, 5, 6, 7, 9, 10], "traffic": [0, 2, 5, 6, 7, 9, 10], "thi": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "rn": [0, 2, 3, 7, 9, 10], "start": [0, 3, 4, 5, 7, 9, 10], "up": [0, 2, 3, 5, 6, 7, 9, 10, 11], "gener": [0, 2, 3, 5, 6, 7, 9, 10, 11], "new": [0, 4, 6, 7, 9, 10], "destin": [0, 2, 4, 6, 7, 10], "let": [0, 2, 5, 6, 9, 10], "user": [0, 2, 5, 6, 9, 10, 11], "send": [0, 5, 7, 9, 10], "an": [0, 2, 3, 5, 6, 7, 9, 10, 11], "import": [0, 2, 3, 5, 6, 7, 8, 9], "argpars": 0, "": [0, 2, 5, 6, 9, 10, 11], "defin": [0, 5, 7, 9, 10], "app": [0, 2, 7, 9], "name": [0, 4, 5, 6, 7], "we": [0, 2, 3, 5, 8, 9], "ll": [0, 2, 11], "all": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "creat": [0, 4, 5, 6, 7, 9, 10, 11], "sinc": [0, 2, 5, 6, 7, 9], "basic": [0, 2, 4, 7, 10], "i": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10], "part": [0, 5, 6, 7, 9, 10], "rang": [0, 2, 3, 5, 6, 9, 10, 11], "util": [0, 3, 4, 5, 9], "put": [0, 3, 5, 10], "them": [0, 2, 3, 5, 6, 8, 9, 10, 11], "within": [0, 2, 5, 6, 7, 9], "namespac": 0, "example_util": 0, "app_nam": [0, 7], "execut": [0, 2, 5, 7, 10], "when": [0, 2, 3, 5, 6, 7, 9, 10], "def": 0, "program_setup": 0, "configpath": 0, "must": [0, 2, 5, 6, 7, 9, 10], "first": [0, 2, 5, 7, 9, 10], "randomli": [0, 9], "ident": [0, 2, 4, 7, 10, 11], "our": [0, 9], "just": [0, 2, 3, 5, 6, 9, 11], "endpoint": [0, 6, 7, 9], "address": [0, 2, 5, 6, 7, 9, 10, 11], "commun": [0, 2, 4, 5, 6, 7, 8, 9, 10, 11], "also": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "exist": [0, 2, 3, 5, 9, 10, 11], "which": [0, 2, 5, 6, 7, 9, 10], "know": [0, 2, 3, 6, 7, 9, 11], "thei": [0, 2, 5, 6, 7, 9, 10], "reachabl": [0, 2, 5, 6, 7, 9, 10], "automat": [0, 2, 5, 6, 7, 9, 10, 11], "path": [0, 2, 3, 5, 7, 9, 10], "anywher": [0, 5], "els": [0, 2, 6, 9], "IN": [0, 7], "singl": [0, 5, 7, 9, 10, 11], "minimalsampl": 0, "configur": [0, 2, 3, 4, 6, 7, 9, 11], "prove": [0, 9], "packet": [0, 2, 4, 5, 6, 7, 10, 11], "By": [0, 2, 5, 7, 9, 10], "do": [0, 2, 3, 5, 7, 9, 10, 11], "proof": [0, 7, 9, 10], "each": [0, 2, 5, 6, 7, 9, 10], "incom": [0, 5, 7, 10], "transmit": [0, 5, 9], "back": [0, 5, 9, 11], "sender": [0, 2, 6, 9, 10], "anyon": [0, 2, 3, 5, 6, 7, 9, 10], "tri": 0, "whether": [0, 5, 7, 9], "wa": [0, 3, 5, 6, 7, 9, 10, 11], "receiv": [0, 2, 5, 7, 9, 10], "correctli": 0, "set_proof_strategi": [0, 7], "prove_al": [0, 7], "everyth": [0, 6, 9], "hand": 0, "over": [0, 3, 4, 5, 7, 9, 10, 11], "control": [0, 2, 3, 4, 6, 7, 9, 11], "loop": 0, "announceloop": 0, "log": [0, 5, 10], "prettyhexrep": 0, "hash": [0, 2, 7, 9, 10], "run": [0, 2, 3, 5, 6, 7, 9, 10, 11], "hit": 0, "enter": [0, 10], "manual": [0, 2, 5, 6, 7, 10], "ctrl": 0, "c": [0, 6, 10], "quit": 0, "until": [0, 2, 5, 7], "exit": [0, 2, 7, 10], "If": [0, 2, 3, 5, 6, 7, 9, 10, 11], "server": [0, 2, 3, 4, 7, 10], "client": [0, 2, 3, 4, 7, 10], "messag": [0, 2, 7, 9, 10], "direct": [0, 2, 3, 6, 7, 9, 10], "toward": [0, 5, 9], "while": [0, 2, 3, 5, 6, 7, 9, 11], "true": [0, 2, 5, 7, 10], "input": [0, 10], "sent": [0, 2, 7, 9, 10], "startup": [0, 10], "get": [0, 3, 4, 5, 7, 10, 11], "pars": 0, "desir": [0, 3, 5, 9], "mode": [0, 2, 3, 4, 9, 10, 11], "__name__": 0, "__main__": 0, "try": [0, 4, 5, 7], "parser": 0, "argumentpars": 0, "descript": [0, 10], "add_argu": 0, "config": [0, 2, 5, 6, 10], "action": 0, "store": [0, 7, 9, 10], "default": [0, 2, 3, 5, 6, 7, 9, 10], "none": [0, 2, 5, 7, 9, 10], "help": [0, 6, 8, 9, 10, 11], "altern": [0, 2, 5, 10], "directori": [0, 10], "type": [0, 2, 4, 5, 6, 7, 10], "str": 0, "arg": 0, "parse_arg": 0, "configarg": 0, "except": [0, 5, 7], "keyboardinterrupt": 0, "print": [0, 5, 10], "found": [0, 2, 5, 6, 9, 10], "http": [0, 2, 8], "github": [0, 2, 8], "com": [0, 2, 8], "markqvist": [0, 2, 8], "blob": [0, 9], "master": [0, 7], "py": [0, 2, 9, 10], "build": [0, 2, 3, 4, 5, 9, 11], "upon": [0, 9], "previou": 0, "explor": [0, 9, 11], "notif": [0, 7], "relev": [0, 3, 5, 7, 9, 10], "set": [0, 2, 3, 5, 6, 7, 9, 10, 11], "callback": [0, 7], "applic": [0, 2, 5, 6, 7, 9, 10, 11], "arriv": [0, 9], "random": [0, 7, 9], "two": [0, 2, 3, 5, 6, 7, 9], "list": [0, 2, 3, 5, 7, 9, 11], "string": [0, 7], "app_data": [0, 7], "fruit": 0, "peach": 0, "quinc": 0, "date": [0, 2], "tangerin": 0, "pomelo": 0, "carambola": 0, "grape": 0, "noble_gas": 0, "helium": 0, "neon": 0, "argon": 0, "krypton": 0, "xenon": 0, "radon": 0, "oganesson": 0, "announcesampl": 0, "space": [0, 2, 3, 6, 9, 11], "destination_1": 0, "destination_2": 0, "handler": [0, 7], "onli": [0, 2, 3, 5, 6, 7, 9, 10, 11], "ask": [0, 2, 3, 7], "chang": [0, 2, 5, 7, 9, 10, 11], "filter": [0, 6, 9, 10], "see": [0, 2, 5, 6, 7, 9, 10, 11], "what": [0, 2, 4, 5, 7, 9], "happen": [0, 2, 7, 9], "announce_handl": 0, "exampleannouncehandl": 0, "aspect_filt": [0, 7], "regist": [0, 7], "transport": [0, 2, 4, 5, 6, 7, 10, 11], "register_announce_handl": [0, 7], "select": [0, 5], "randint": 0, "0": [0, 2, 5, 7, 9, 10, 11], "len": 0, "1": [0, 2, 5, 7, 9, 10], "data": [0, 2, 3, 4, 5, 6, 7, 9, 11], "encod": [0, 7], "utf": 0, "8": [0, 5, 10], "nobl": 0, "ga": 0, "noble_ga": 0, "need": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "class": [0, 6, 7, 11], "method": [0, 2, 5, 7, 9], "take": [0, 2, 5, 9, 10, 11], "option": [0, 2, 3, 4, 6, 7, 9, 10], "argument": [0, 7, 10], "instanc": [0, 4, 5, 7, 9, 10], "some": [0, 2, 3, 5, 6, 7, 9, 10], "want": [0, 2, 5, 6, 9, 10, 11], "aspect": [0, 3, 5, 7, 9, 10], "__init__": [0, 7], "self": [0, 6, 11], "call": [0, 2, 6, 7, 9, 11], "system": [0, 2, 3, 4, 5, 6, 7, 8, 9, 11], "match": [0, 5, 9, 10], "specif": [0, 3, 4, 5, 7, 10, 11], "cannot": [0, 2, 5, 11], "wildcard": 0, "received_announc": [0, 7], "destination_hash": [0, 7, 10], "announced_ident": [0, 7], "contain": [0, 6, 7, 9, 10], "follow": [0, 2, 3, 5, 7, 8, 9, 10, 11], "decod": 0, "plaintext": [0, 7], "unencrypt": [0, 7, 9], "inform": [0, 2, 4, 5, 6, 7, 9, 10], "ani": [0, 2, 3, 5, 6, 7, 8, 9, 10, 11], "listen": [0, 5, 9, 10], "sy": 0, "did": 0, "one": [0, 2, 3, 5, 6, 7, 9, 10, 11], "public_inform": 0, "ad": [0, 3, 4, 5, 6, 7, 9, 11], "so": [0, 2, 3, 5, 6, 7, 8, 9, 10, 11], "differ": [0, 2, 3, 5, 6, 7, 9, 10, 11], "plain": [0, 3, 5, 7, 9], "uncencrypt": 0, "broadcast_destin": 0, "specifi": [0, 2, 3, 5, 6, 7, 10], "everi": [0, 5, 6, 7, 9], "time": [0, 2, 3, 5, 6, 7, 9, 10], "set_packet_callback": [0, 7], "packet_callback": 0, "main": 0, "broadcastloop": 0, "simpli": [0, 2, 3, 5, 6, 9, 10], "out": [0, 2, 3, 5, 6, 7, 9, 10, 11], "r": [0, 5, 9, 10], "n": [0, 9, 10], "end": [0, 5, 6, 7, 9, 11], "stdout": [0, 5, 10], "flush": 0, "text": [0, 2, 6, 9], "prompt": 0, "channelarg": 0, "between": [0, 5, 6, 7, 9, 10], "interfac": [0, 3, 4, 6, 7, 10], "simpl": [0, 3, 7, 9, 10, 11], "respond": [0, 7, 10], "receipt": [0, 7, 9], "choos": [0, 2, 5, 9], "global": [0, 2, 5, 6, 7, 9, 11], "server_ident": 0, "queri": [0, 2, 7, 10], "abl": [0, 2, 5, 6, 7, 9, 10], "verifi": [0, 7, 9, 10, 11], "repli": [0, 10], "encrypt": [0, 2, 6, 7, 9, 10, 11], "wai": [0, 2, 5, 6, 7, 9, 10], "certain": [0, 5, 6, 8, 9], "than": [0, 2, 5, 6, 7, 9, 10, 11], "read": [0, 2, 5, 7, 9, 10], "echo_destin": 0, "tell": [0, 5], "function": [0, 2, 3, 4, 5, 6, 7, 8, 10, 11], "server_callback": 0, "wait": [0, 5, 9, 10], "go": [0, 2, 5, 9], "handl": [0, 3, 5, 6, 7, 9, 10, 11], "reception_stat": 0, "is_connected_to_shared_inst": 0, "reception_rssi": 0, "get_packet_rssi": 0, "packet_hash": 0, "reception_snr": 0, "get_packet_snr": 0, "rssi": [0, 7, 10], "dbm": [0, 5, 10], "snr": [0, 7, 10], "db": [0, 10], "destination_hexhash": 0, "timeout": [0, 7, 10], "binari": [0, 2, 7, 9, 10], "represent": [0, 7], "command": [0, 2, 5, 10], "dest_len": 0, "truncated_hashlength": [0, 7], "2": [0, 5, 7, 9, 10], "rais": [0, 7], "valueerror": [0, 7], "length": [0, 5, 7, 9], "invalid": [0, 7], "hex": [0, 10], "hexadecim": [0, 9, 10], "charact": 0, "byte": [0, 7, 9, 10, 11], "format": [0, 4, 10, 11], "fromhex": 0, "e": [0, 6, 10], "check": [0, 2, 7, 9, 10], "overrid": 0, "loglevel": [0, 7, 10], "provid": [0, 2, 3, 4, 5, 6, 7, 9, 11], "feedback": [0, 4], "log_info": 0, "doe": [0, 2, 3, 4, 5, 6, 7, 9, 10], "load": [0, 2, 7, 10], "has_path": [0, 7], "To": [0, 2, 3, 5, 6, 9, 10, 11], "public": [0, 4, 5, 7, 10], "kei": [0, 4, 6, 7, 10, 11], "done": [0, 2, 5, 6, 9, 10], "recal": [0, 7, 10], "modul": [0, 2, 3, 9, 10, 11], "known": [0, 5, 7, 9, 10], "return": [0, 7, 10], "outgo": [0, 5, 7, 9, 10], "got": 0, "correct": [0, 9], "convent": 0, "request_destin": 0, "add": [0, 2, 5, 6, 7, 10], "echo_request": 0, "get_random_hash": [0, 7], "successfulli": [0, 7, 9], "packetreceipt": [0, 4, 7], "packet_receipt": [0, 7], "set_timeout": [0, 7], "set_timeout_callback": [0, 7], "packet_timed_out": 0, "deliveri": [0, 2, 7, 11], "set_delivery_callback": [0, 7], "packet_deliv": 0, "yet": [0, 5, 9, 11], "retri": [0, 7, 9], "onc": [0, 2, 3, 5, 6, 7, 9, 10, 11], "request_path": [0, 7], "statu": [0, 2, 4, 5, 7, 9, 10], "deliv": [0, 7], "rtt": [0, 7, 9], "get_rtt": [0, 7], "round": [0, 7, 10], "3": [0, 5, 9, 10, 11], "rttstring": 0, "second": [0, 5, 7, 9, 10, 11], "1000": 0, "millisecond": [0, 10], "proof_packet": 0, "valid": [0, 5, 7, 9, 10], "trip": [0, 7, 10], "fail": [0, 2, 7], "store_tru": 0, "t": [0, 2, 5, 6, 7, 10], "metavar": 0, "float": [0, 7], "narg": 0, "timeoutarg": 0, "print_help": 0, "establish": [0, 2, 5, 6, 7, 11], "remot": [0, 4, 7], "forth": 0, "o": [0, 2, 9, 10, 11], "refer": [0, 2, 4], "latest": [0, 2, 5, 7, 10], "latest_client_link": 0, "server_destin": 0, "linkexampl": 0, "set_link_established_callback": [0, 7], "client_connect": 0, "server_loop": 0, "set_link_closed_callback": [0, 7], "client_disconnect": 0, "server_packet_receiv": 0, "disconnect": [0, 5], "activ": [0, 2, 5, 7, 9], "last": [0, 5, 7], "reply_text": 0, "reply_data": 0, "server_link": 0, "sleep": [0, 10], "begin": [0, 3, 7], "And": 0, "client_packet_receiv": 0, "close": [0, 6, 7, 10], "link_establish": 0, "link_clos": 0, "interact": [0, 2, 7, 9, 10], "client_loop": 0, "becom": [0, 2, 6, 9], "should_quit": 0, "fals": [0, 5, 7, 10], "should": [0, 2, 5, 6, 7, 9, 10, 11], "q": [0, 10], "teardown": [0, 7], "mdu": [0, 7], "size": [0, 2, 5, 7, 9, 10], "exce": [0, 5, 7], "log_error": 0, "error": [0, 2, 8, 10], "ha": [0, 2, 3, 5, 6, 7, 9, 11], "been": [0, 2, 3, 5, 6, 7, 9, 11], "later": [0, 2, 5, 10], "teardown_reason": 0, "now": [0, 2, 6, 9, 10], "elif": 0, "destination_clos": 0, "exit_handl": 0, "5": [0, 2, 5, 7, 9, 10, 11], "_exit": 0, "identifi": [0, 5, 7, 9, 10], "intiat": 0, "initi": [0, 6, 7, 9, 11], "peer": [0, 2, 5, 7, 9, 10], "identifyexampl": 0, "set_remote_identified_callback": [0, 7], "remote_identifi": 0, "origin": [0, 6, 9], "displai": [0, 9, 10], "remote_p": 0, "unidentifi": 0, "get_remote_ident": [0, 7], "client_ident": 0, "sendig": 0, "perform": [0, 2, 5, 6, 7, 9, 10], "random_text_gener": 0, "request_id": [0, 7], "link_id": [0, 7], "remote_ident": [0, 7], "requested_at": [0, 7], "look": [0, 2, 5, 9, 10], "On": [0, 2, 5, 10], "full": [0, 5, 7, 9, 10, 11], "moon": 0, "becki": 0, "upset": 0, "stai": [0, 9, 10], "awai": [0, 5, 6, 9, 10], "pet": 0, "shop": 0, "stock": 0, "requestexampl": 0, "register_request_handl": [0, 7], "response_gener": [0, 7], "allow": [0, 2, 3, 5, 6, 7, 9, 10, 11], "allow_al": [0, 7], "response_callback": [0, 7], "got_respons": 0, "failed_callback": [0, 7], "request_fail": 0, "request_receipt": [0, 7], "request_receiv": 0, "structur": [0, 7, 9, 11], "datetim": 0, "vendor": [0, 3, 11], "umsgpack": 0, "share": [0, 2, 6, 7, 9, 10], "object": [0, 7], "subclass": [0, 7], "messagebas": [0, 4, 7], "ensur": [0, 5, 7, 9, 10, 11], "serial": [0, 2, 4, 9, 11], "deseri": 0, "multiplex": 0, "other": [0, 2, 3, 5, 6, 7, 9, 10], "both": [0, 2, 3, 5, 6, 7, 9, 10, 11], "same": [0, 2, 3, 5, 6, 7, 9, 10], "definit": [0, 9], "note": [0, 3, 4, 5, 7, 9, 10], "wish": [0, 9, 10], "make": [0, 2, 3, 5, 8, 9, 10], "stringmessag": 0, "convei": 0, "timestamp": [0, 9, 11], "msgtype": [0, 7], "variabl": [0, 7], "assign": [0, 9, 10], "integ": 0, "valu": [0, 5, 7, 9], "constructor": 0, "uniqu": [0, 5, 7, 9, 11], "across": [0, 3, 6, 7], "0xf000": [0, 7], "reserv": [0, 7], "0x0101": 0, "callabl": [0, 7], "paramet": [0, 3, 5, 6, 7, 9, 10], "empti": 0, "version": [0, 2, 9, 10, 11], "unpack": [0, 7], "final": [0, 7, 9], "implement": [0, 5, 6, 7, 8, 9, 11], "pack": [0, 7], "raw": [0, 3, 7], "payload": [0, 7, 9, 10], "packag": [0, 2, 5, 9], "bundl": 0, "could": [0, 2, 5, 6, 7, 9, 11], "struct": 0, "python": [0, 4, 7, 9, 10, 11], "more": [0, 2, 3, 5, 6, 7, 9, 10, 11], "fit": 0, "entir": [0, 6, 7, 9, 10], "avail": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "properti": [0, 6, 7], "slightli": [0, 2], "less": [0, 5, 7, 9, 11], "due": [0, 6], "header": [0, 7, 9], "content": [0, 2, 6, 10], "stream": [0, 7, 9], "packb": 0, "unpackb": 0, "channelexampl": 0, "get_channel": [0, 7], "register_message_typ": [0, 7], "add_message_handl": [0, 7], "server_message_receiv": 0, "param": 0, "deserializ": 0, "unless": [0, 2, 5, 7, 9], "preced": 0, "indic": [0, 7], "isinst": 0, "reply_messag": 0, "order": [0, 7, 10], "were": [0, 6], "consid": [0, 5, 7, 9, 11], "subsequ": [0, 9], "skip": 0, "packed_s": 0, "is_ready_to_send": [0, 7], "pleas": [0, 2, 5, 7, 10, 11], "pend": 0, "complet": [0, 2, 3, 5, 6, 7, 9, 10, 11], "client_message_receiv": 0, "reader": [0, 7], "writer": [0, 7], "__future__": 0, "annot": 0, "latest_buff": 0, "bufferexampl": 0, "old": [0, 3, 8, 9], "stream_id": [0, 7], "bit": [0, 2, 5, 7, 9, 10, 11], "like": [0, 2, 3, 5, 6, 7, 9, 10, 11], "file": [0, 2, 3, 5, 6, 7, 9, 10, 11], "descriptor": 0, "actual": [0, 2, 5, 9], "separ": [0, 2, 5, 6, 9, 10], "unidirect": 0, "flow": [0, 5, 6], "opposit": [0, 5], "create_bidirectional_buff": [0, 7], "server_buffer_readi": 0, "ready_byt": [0, 7], "int": [0, 7], "otherwis": [0, 7], "forc": [0, 10], "server_client_connect": 0, "detail": [0, 2, 4, 5, 7, 10, 11], "client_buffer_readi": 0, "termin": [0, 2, 7], "download": [0, 5, 10], "resourc": [0, 2, 4, 7, 8], "effici": [0, 2, 5, 9, 11], "serv": [0, 3, 5, 6, 9, 10], "larg": [0, 3, 5, 6, 7, 9, 11], "transfer": [0, 7, 9, 10, 11], "recommend": [0, 2, 9], "compress": [0, 7, 9], "hashmap": 0, "sequenc": [0, 7, 9, 11], "long": [0, 2, 3, 5, 7, 9], "slow": [0, 5, 9], "cpu": [0, 9], "probabl": [0, 2, 6, 9, 10, 11], "result": [0, 9, 10], "befor": [0, 2, 5, 7, 9, 10], "prepar": [0, 6], "instead": [0, 2, 5, 7, 9, 10], "slice": 0, "chunk": 0, "suitabl": [0, 2, 5, 6, 9, 11], "thread": 0, "app_timeout": 0, "45": [0, 9], "serve_path": 0, "here": [0, 2, 5, 9, 10], "conveni": 0, "list_fil": 0, "entri": [0, 2, 9], "listdir": 0, "isfil": 0, "join": [0, 2, 6, 8, 9], "host": [0, 2, 5, 9, 10], "still": [0, 2, 5, 6, 9, 10], "isdir": 0, "list_packet": 0, "list_receipt": 0, "list_deliv": 0, "list_timeout": 0, "too": [0, 6], "mani": [0, 2, 3, 5, 6, 7, 9, 10, 11], "split": 0, "filelist": 0, "multipl": [0, 5, 6, 9, 10], "hint": 0, "alreadi": [0, 2, 3, 6, 7, 9, 10], "support": [0, 2, 4, 5, 6, 7, 9, 10, 11], "after": [0, 2, 5, 6, 7, 9, 10], "re": [0, 5, 7, 9], "keep": [0, 6, 7, 9, 10, 11], "open": [0, 3, 5, 6, 7, 8, 9, 10, 11], "client_request": 0, "longer": [0, 2, 6, 9, 10], "filenam": 0, "rb": 0, "file_resourc": 0, "resource_sending_conclud": 0, "somethign": 0, "went": 0, "wrong": [0, 5], "don": [0, 2, 6, 7, 10], "unknown": [0, 5, 7, 9, 10], "conclud": [0, 7], "hasattr": 0, "server_fil": 0, "current": [0, 2, 4, 5, 7, 9], "current_download": 0, "current_filenam": 0, "statist": [0, 5, 7, 8], "download_start": 0, "download_finish": 0, "download_tim": 0, "transfer_s": 0, "file_s": 0, "expect": [0, 2, 5, 7, 9, 10], "normal": [0, 2, 5, 7, 10], "accordingli": 0, "filelist_receiv": 0, "advertis": [0, 7], "set_resource_strategi": [0, 7], "accept_al": [0, 7], "set_resource_started_callback": [0, 7], "download_began": 0, "set_resource_concluded_callback": [0, 7], "download_conclud": 0, "menu": 0, "menu_mod": 0, "down": [0, 3, 5, 7], "request_packet": 0, "create_receipt": [0, 7], "print_menu": 0, "25": [0, 4, 11], "user_input": 0, "screen": 0, "variou": [0, 2, 5, 6, 9, 10, 11], "state": 0, "It": [0, 2, 3, 5, 7, 9, 10, 11], "uninterest": 0, "won": 0, "clear_screen": 0, "print_filelist": 0, "percent": [0, 5], "get_progress": [0, 7], "100": [0, 5, 9], "rprogress": 0, "save_error": 0, "disk": [0, 7], "hour": [0, 5], "rem": 0, "divmod": 0, "3600": [0, 5], "minut": [0, 2, 5, 9], "60": [0, 5], "timestr": 0, "05": 0, "2f": 0, "ttime": 0, "taken": [0, 9], "tfile": 0, "size_str": 0, "tdata": 0, "teffect": 0, "rate": [0, 4, 7, 10], "suffix": 0, "b": [0, 6, 10], "ttransfer": 0, "press": 0, "index": [0, 2, 4], "enumer": 0, "filelist_data": 0, "extend": [0, 2, 7], "local": [0, 2, 5, 6, 7, 9, 10, 11], "append": [0, 9], "visibl": 0, "updat": [0, 2, 7, 9, 10], "small": [0, 5, 6, 7, 9, 11], "job": 0, "potenti": [0, 5, 8, 9, 10, 11], "target": [0, 5, 10], "filelist_timeout_job": 0, "daemon": [0, 2, 5, 7, 10], "detect": 0, "shown": 0, "progress": [0, 7, 10, 11], "total_s": 0, "saved_filenam": 0, "counter": 0, "wb": 0, "human": [0, 7, 8, 9], "readabl": [0, 7, 9], "num": 0, "unit": [0, 2, 7, 10], "ki": 0, "mi": 0, "gi": 0, "ti": [0, 9], "pi": [0, 4, 6, 9, 10, 11], "ei": 0, "zi": 0, "last_unit": 0, "yi": 0, "k": [0, 10], "m": [0, 2, 9, 10], "g": [0, 10], "p": [0, 10], "z": 0, "y": 0, "ab": 0, "1024": 0, "clear": [0, 5, 7, 9, 10], "cl": 0, "nt": 0, "dir": 0, "best": [2, 9, 11], "guid": [2, 3, 9], "outlin": [2, 3, 9], "sensibl": [2, 3, 5], "scenario": [2, 4, 9], "relat": 2, "easiest": [2, 3, 5, 9], "via": [2, 3, 5, 8, 9, 10, 11], "pip": [2, 3, 10], "manag": [2, 4, 6, 7, 9, 11], "sudo": [2, 10], "apt": 2, "python3": [2, 3], "pamac": 2, "similar": [2, 3, 5, 6, 7, 10, 11], "dowload": 2, "releas": [2, 5, 9], "wheel": 2, "channel": [2, 4, 5, 6, 7, 8, 9, 11], "offlin": 2, "py3": 2, "whl": 2, "mai": [2, 5, 7, 9, 10], "case": [2, 3, 5, 6, 9, 10], "usual": 2, "essenti": [2, 5, 9], "deriv": [2, 7, 9, 11], "arch": 2, "manjaro": 2, "devel": 2, "fedora": 2, "dnf": 2, "groupinstal": 2, "tool": [2, 3, 9, 10, 11], "librari": 2, "compil": 2, "miss": 2, "even": [2, 5, 6, 7, 9, 10, 11], "pre": [2, 7, 9], "built": [2, 5, 9, 10, 11], "few": [2, 3, 5, 6, 9, 10, 11], "extrem": [2, 9, 10, 11], "low": [2, 3, 5, 6, 9, 11], "bandwidth": [2, 5, 6, 7, 9, 10, 11], "These": [2, 5, 7, 9, 10], "feel": 2, "work": [2, 3, 5, 6, 9, 10, 11], "design": [2, 3, 6, 9, 11], "well": [2, 3, 5, 6, 7, 9, 10, 11], "lora": [2, 3, 4, 9, 11], "link": [2, 3, 4, 5, 6, 7, 10, 11], "wifi": [2, 4, 5, 6, 9, 10, 11], "wire": [2, 3, 4, 5, 11], "ethernet": [2, 4, 5, 6, 9, 11], "combin": [2, 4, 6, 9], "As": [2, 3, 5, 6, 7, 9, 11], "easi": [2, 3, 5, 9, 10, 11], "experi": [2, 9], "without": [2, 3, 5, 6, 9, 10, 11], "transceiv": [2, 3, 5, 9, 11], "infrastructur": [2, 3, 5, 6, 9, 10], "launch": [2, 10], "devic": [2, 4, 5, 6, 7, 9, 10], "enough": [2, 3, 9], "physic": [2, 3, 5, 7, 9, 10], "rnsh": [2, 10], "fulli": [2, 3, 9, 10, 11], "session": [2, 9], "pipe": [2, 4, 11], "ssh": 2, "veri": [2, 3, 5, 6, 7, 8, 9, 10, 11], "facilit": 2, "suit": [2, 3, 9, 10], "featur": [2, 8, 9, 11], "delai": [2, 5, 9, 10], "browser": 2, "page": [2, 4, 9], "dynam": [2, 10], "render": 2, "authent": [2, 5, 6, 7, 9, 10, 11], "face": [2, 5], "protocol": [2, 3, 4, 5, 11], "lxmf": [2, 10], "anoth": [2, 7, 9, 10], "project": 2, "nomadnet": 2, "might": [2, 5, 6, 9, 10], "reboot": 2, "again": [2, 5, 9, 10], "would": [2, 5, 6, 7, 9], "rather": [2, 7], "graphic": 2, "linux": [2, 3, 9], "maco": 2, "window": [2, 5], "peopl": [2, 9], "compat": [2, 3, 5, 7, 9], "i2p": [2, 4, 11], "qr": 2, "paper": 2, "anyth": [2, 3, 5, 9, 10, 11], "interoper": [2, 3, 6, 9, 11], "friendli": [2, 11], "voic": 2, "interest": [2, 9], "cours": [2, 5, 9], "come": [2, 9], "easier": [2, 5, 6, 10], "rnsd": [2, 4], "background": [2, 5], "foreground": 2, "servic": [2, 4, 6], "rnstatu": [2, 4, 5, 9], "rnpath": [2, 4, 5], "rnprobe": [2, 4], "view": [2, 5, 9, 10], "chapter": [2, 3, 5, 6, 7, 9, 10, 11], "locat": [2, 6, 9, 10], "exampl": [2, 3, 4, 5, 7, 9, 10, 11], "exampleconfig": [2, 10], "broadcast": [2, 4, 5, 7, 9], "domain": [2, 5], "further": [2, 4, 5], "tcp": [2, 3, 4, 6, 9, 11], "There": [2, 6, 9, 11], "subnet": [2, 6], "rout": [2, 3, 5, 6, 7, 9, 10, 11], "tabl": [2, 5, 6, 9, 10], "thing": 2, "discov": [2, 5], "topographi": [2, 6, 9], "situat": [2, 3, 5, 6, 9], "where": [2, 3, 4, 5, 6, 7, 9, 10], "utilis": [2, 5, 6, 7, 10, 11], "extern": [2, 5, 7, 11], "often": [2, 5, 6, 9, 10], "suffici": [2, 5, 9, 10], "act": [2, 7, 9, 10], "gatewai": [2, 5, 6, 9], "enabl": [2, 3, 5, 6, 7, 9, 10, 11], "wider": [2, 5, 9, 10], "autointerfac": [2, 3, 5, 10], "possibli": 2, "offer": [2, 4, 5, 7, 9], "carefulli": 2, "tcpserverinterfac": [2, 5], "access": [2, 4, 5, 6, 7, 10], "ip": [2, 3, 5, 9, 10, 11], "faster": [2, 5, 9], "lower": [2, 5, 10], "latenc": [2, 9, 11], "energi": 2, "i2pinterfac": [2, 5], "howev": [2, 6, 9], "leak": 2, "reveal": [2, 7, 9, 11], "who": [2, 5, 9], "inspect": [2, 5, 6, 9, 10], "someon": [2, 5], "determin": [2, 7, 9], "adversari": 2, "record": [2, 9], "metadata": [2, 9, 11], "transmiss": [2, 5, 7, 9], "though": [2, 5, 9], "publicli": [2, 5], "most": [2, 3, 5, 6, 7, 9, 10], "anymor": 2, "through": [2, 3, 5, 6, 7, 9, 10, 11], "invis": [2, 5], "parallel": 2, "For": [2, 5, 6, 7, 9, 10, 11], "alwai": [2, 5, 7, 9, 10], "node": [2, 4, 5, 6, 10, 11], "i2pd": [2, 5], "mix": [2, 5, 6, 10], "hide": 2, "relai": 2, "extra": [2, 5, 6, 10], "comput": [2, 9, 11], "power": [2, 3, 5, 9, 10], "attack": 2, "form": [2, 5, 6, 7, 9], "deep": 2, "much": [2, 5, 6, 9, 10], "difficult": [2, 3], "non": [2, 7, 9], "behind": [2, 9], "firewal": [2, 5], "nat": 2, "preserv": [2, 7], "anonym": [2, 6, 7, 9, 11], "care": [2, 7, 11], "experiment": [2, 11], "made": [2, 7, 9], "amsterdam": 2, "hub": [2, 5], "tcpclientinterfac": [2, 3, 5], "ye": [2, 5, 9, 10], "target_host": [2, 5], "target_port": [2, 5], "4965": [2, 10], "betweenthebord": 2, "4242": [2, 5], "g3br23bvx3lq5uddcsjii74xgmn6y5q325ovrkq2zw2wbzbqgbuq": 2, "b32": [2, 5], "point": [2, 3, 5, 6, 9, 10], "absolut": [2, 8, 11], "usag": [2, 4, 5, 7, 8, 10], "occasion": 2, "test": [2, 9, 10], "failur": [2, 5, 7], "guarante": [2, 9], "weird": 2, "goe": 2, "sai": 2, "hardcod": 2, "ship": 2, "practic": [2, 9, 11], "solut": [2, 9, 10], "leav": [2, 6, 9], "hardwar": [2, 4, 5, 6, 7, 9, 10, 11], "wide": [2, 3, 5, 9, 10, 11], "section": [2, 3, 5, 6, 9, 10], "easili": [2, 5, 6, 9, 10, 11], "cheapli": 2, "rnode": [2, 4, 9, 10, 11], "purpos": [2, 3, 5, 7, 9, 10], "digit": [2, 3, 9, 11], "integr": [2, 6, 9], "yourself": [2, 9, 11], "custom": [2, 5, 7, 9, 11], "firmwar": [2, 3, 9, 10], "board": [2, 4, 5, 9, 11], "auto": [2, 3, 4, 7, 9], "script": [2, 3], "prefer": [2, 9], "purchas": [2, 6, 9, 11], "supplier": 2, "addit": [2, 3, 5, 7, 9, 10, 11], "privat": [2, 5, 7, 8, 9, 10, 11], "secur": [2, 9, 11], "uncensor": 2, "mesh": [2, 5, 6, 11], "think": 2, "welcom": 2, "head": 2, "discuss": [2, 3, 9], "propos": 2, "abov": [2, 3, 5, 9, 10], "next": [2, 6, 7, 9], "step": [2, 3], "pyseri": 2, "api": [2, 4, 9, 11], "associ": [2, 7, 9], "recip": 2, "cryptographi": [2, 9, 11], "clone": 2, "repositori": [2, 8, 9], "git": 2, "move": [2, 5, 9, 10], "folder": 2, "symlink": [2, 10], "cd": 2, "ln": [2, 10], "echo": [2, 4], "ve": 2, "immedi": [2, 5, 10], "necessari": [2, 3, 5, 6, 7, 9], "nano": 2, "repeat": 2, "process": [2, 3, 5, 7, 9], "h": [2, 10], "ping": [2, 10], "replac": [2, 7, 9, 10], "below": [2, 3, 5, 10], "174a64852a75682259ad8b921b8bf416": 2, "filetransf": [2, 4, 7], "understand": [2, 4, 7, 10], "submit": 2, "pull": 2, "request": [2, 4, 5, 7, 9, 10], "good": [2, 3, 6, 9, 10], "idea": [2, 9, 10], "introduc": [2, 9], "disucss": 2, "forum": 2, "maintain": [2, 9], "place": [2, 5, 6, 9, 10], "procedur": [2, 7, 9], "quirk": 2, "worth": 2, "being": [2, 5, 7, 9], "awar": [2, 9], "termux": 2, "f": [2, 10], "droid": 2, "emul": 2, "environ": [2, 6, 9], "abil": [2, 3], "pkg": 2, "sure": [2, 3, 10], "upgrad": [2, 9], "Then": 2, "reason": [2, 5, 9], "attempt": [2, 3, 7], "openssl": [2, 9], "libffi": 2, "rust": 2, "export": 2, "cargo_build_target": 2, "aarch64": 2, "sever": [2, 6, 7, 10], "succe": 2, "softwar": [2, 3, 5, 9, 11], "possibl": [2, 3, 5, 6, 7, 9, 10, 11], "apk": 2, "tutori": 2, "code": [2, 3, 4, 5, 10], "architectur": 2, "precompil": 2, "dev": [2, 5, 10], "64": [2, 9, 10], "32": [2, 7, 9], "rasperri": 2, "os": [2, 5], "april": 2, "2023": [2, 10], "onto": [2, 9], "unfortun": 2, "pipx": 2, "isol": [2, 5, 10], "neg": 2, "affect": [2, 5], "ensurepath": 2, "restor": [2, 5], "behaviour": [2, 5, 10], "edit": [2, 10], "conf": 2, "break": [2, 7, 9, 11], "somewhat": 2, "mislead": 2, "choic": 2, "word": 2, "rare": [2, 5], "lead": [2, 9], "conflict": 2, "pose": [2, 9], "problem": [2, 9], "_could_": 2, "obscur": 2, "rnspure": 2, "No": [2, 5, 9, 10, 11], "matter": [2, 5], "perfectli": 2, "pyca": [2, 9], "cryptograph": [2, 4, 6, 10, 11], "primit": [2, 4], "One": [3, 7], "truli": [3, 9], "valuabl": [3, 8], "almost": [3, 5, 9, 10], "conceiv": 3, "kind": [3, 5, 6, 9], "medium": [3, 5, 6, 7, 9, 11], "flexibl": [3, 5, 11], "cover": [3, 9, 11], "wireless": [3, 6], "decad": 3, "modern": [3, 9], "millimet": 3, "wave": 3, "backhaul": [3, 6], "oper": [3, 5, 6, 7, 9, 10, 11], "chanc": 3, "box": 3, "glue": 3, "littl": [3, 9], "effort": 3, "pipeinterfac": [3, 5], "kiss": [3, 4, 10, 11], "simplyequip": 3, "broad": 3, "abund": 3, "sometim": 3, "especi": [3, 5], "scratch": 3, "real": [3, 6, 11], "world": [3, 6, 11], "minim": [3, 4, 9], "cost": [3, 9, 11], "fundament": [3, 9], "categori": 3, "addition": [3, 5, 9], "common": [3, 4, 6, 9, 10, 11], "briefli": [3, 9], "describ": [3, 5, 7, 9], "emploi": [3, 9], "pattern": [3, 9], "high": [3, 5, 9, 11], "capac": [3, 5, 7, 9], "gain": [3, 7, 9], "antenna": 3, "backbon": 3, "area": [3, 5, 6, 9, 11], "reliabl": [3, 5, 6, 7, 9, 11], "commonli": 3, "either": [3, 5, 6, 7, 9, 10], "expens": 3, "hard": 3, "hungri": 3, "allevi": 3, "particular": 3, "plaform": 3, "depend": [3, 4, 6, 7, 9, 10], "its": [3, 5, 7, 9], "compon": [3, 8, 9], "frequenc": [3, 5, 9, 10], "band": [3, 9], "scheme": 3, "limit": [3, 4, 6, 9], "ism": [3, 9], "avoid": [3, 9, 10], "confus": [3, 9], "layer": [3, 5, 6, 7, 9, 11], "noth": [3, 11], "lorawan": [3, 9], "standard": [3, 9], "central": [3, 6, 9], "iot": 3, "overhead": [3, 5, 9], "level": [3, 5, 9, 10], "directli": [3, 5, 7, 9, 10, 11], "replic": [3, 9], "togeth": [3, 9, 10], "exact": [3, 5, 9], "explain": [3, 7], "approach": [3, 9, 10], "develop": [3, 4, 7, 8, 9, 11], "boil": 3, "obtain": [3, 7, 9], "autom": [3, 8, 9], "provis": [3, 10], "rnodeinterfac": [3, 5, 10], "ic": 3, "semtech": 3, "sx1262": 3, "sx1268": 3, "platform": [3, 4, 9, 10], "esp32": 3, "manufactur": [3, 5], "sx1276": 3, "sx1278": 3, "nrf52": 3, "rak": 3, "io": 3, "avr": 3, "atmega1284p": 3, "rnodeconf": [3, 4], "autoinstal": [3, 10], "seri": 3, "question": [3, 5], "configuraion": 3, "port": [3, 4, 5, 6, 9, 11], "air": [3, 11], "short": [3, 5, 9, 10], "bridg": [3, 4], "behav": 3, "mean": [3, 5, 6, 7, 9, 10], "dhcp": [3, 5, 10], "dn": 3, "least": [3, 5, 9, 10, 11], "transpar": [3, 5, 9, 10], "distanc": [3, 9], "ubiqu": 3, "airmax": 3, "ltu": 3, "mikrotik": 3, "exhaust": [3, 9], "rel": [3, 9, 11], "cheap": [3, 9], "co": [3, 5, 11], "concurr": [3, 9, 10], "switch": [3, 5, 9, 10], "fiber": 3, "optic": [3, 11], "virtual": [3, 5, 6, 9, 10, 11], "adapt": [3, 6], "tun": 3, "tap": 3, "serialinterfac": [3, 5], "usb": [3, 6, 9, 10], "freedv": 3, "tnc": [3, 5, 7, 10, 11], "dire": 3, "wolf": 3, "aim": [4, 9], "particip": [4, 6, 9], "itself": [4, 9], "pdf": 4, "epub": 4, "caveat": 4, "emptor": 4, "fast": [4, 5, 7, 9], "standalon": 4, "instal": [4, 5, 6, 9, 10, 11], "resolv": [4, 5, 10], "issu": [4, 6, 8], "base": [4, 5, 6, 7, 9, 10, 11], "shell": [4, 10], "nomad": 4, "sideband": 4, "meshchat": 4, "With": [4, 5, 6, 9, 10], "internet": [4, 5, 9, 11], "testnet": [4, 10], "radio": [4, 5, 6, 7, 9, 10, 11], "android": [4, 10], "arm64": 4, "raspberri": [4, 6, 9, 10, 11], "debian": [4, 9, 10], "bookworm": 4, "ubuntu": [4, 10], "lunar": 4, "pure": [4, 9], "rnid": 4, "rncp": 4, "rnx": 4, "improv": [4, 5, 8], "fix": [4, 9], "motiv": 4, "goal": [4, 5], "introduct": 4, "announc": [4, 7, 10], "mechan": [4, 5], "reach": [4, 5, 6], "prioritis": [4, 5, 6, 7], "propag": [4, 5, 7], "rule": [4, 5], "modem": [4, 5, 6, 7, 9, 11], "udp": [4, 6, 9, 10, 11], "multi": [4, 9, 10, 11], "ax": [4, 11], "concept": [4, 9, 10], "overview": [4, 5, 9], "interconnect": [4, 5, 9], "site": [4, 5], "growth": 4, "converg": [4, 9], "identif": [4, 5, 11], "respons": [4, 7, 9], "buffer": [4, 5, 7], "donat": 4, "contribut": 4, "requestreceipt": [4, 7], "rawchannelread": [4, 7], "rawchannelwrit": [4, 7], "search": 4, "distinct": [5, 9], "topologi": [5, 9], "endless": 5, "give": [5, 9, 10], "respect": [5, 11], "discover": 5, "autoconfigur": [5, 11], "ipv6": [5, 10], "router": [5, 10], "sort": [5, 9, 10], "communica": 5, "tion": 5, "usabl": [5, 9], "interface_en": [5, 10], "spe": 5, "cific": 5, "lan": 5, "group": [5, 7, 9], "id": [5, 7, 9, 10], "group_id": 5, "multicast": 5, "temporari": 5, "perman": [5, 10], "multicast_address_typ": 5, "kernel": [5, 10, 11], "wlan0": 5, "eth1": 5, "Or": [5, 10, 11], "ignor": [5, 7, 9], "ones": [5, 10], "ignored_devic": 5, "tun0": 5, "eth0": 5, "autodiscov": 5, "discoveri": [5, 9, 10], "scope": 5, "admin": 5, "organis": [5, 6, 8], "custom_network_nam": 5, "discovery_scop": 5, "discovery_port": 5, "48555": 5, "data_port": 5, "49555": 5, "frequent": 5, "block": 5, "inbound": [5, 7], "portabl": [5, 9], "persist": [5, 10], "achiev": [5, 7, 9], "geti2p": 5, "net": 5, "websit": 5, "base32": 5, "comma": 5, "5urvjicpzi7q3ybztsef4i5ow2aq4soktfj7zedz53s47r54jnqq": 5, "output": [5, 10], "event": 5, "simplest": [5, 9], "tunnel": [5, 9, 11], "interchang": 5, "degre": 5, "retain": [5, 7], "eas": [5, 9], "simpler": 5, "listen_ip": 5, "listen_port": 5, "bind": 5, "10": [5, 9, 10], "88": 5, "i2p_tunnel": 5, "127": 5, "5001": 5, "dedic": [5, 9], "natur": 5, "toler": 5, "intermitt": 5, "gracefulli": 5, "reappear": 5, "hostnam": 5, "expos": [5, 7], "soundmodem": 5, "kiss_fram": 5, "8001": 5, "caution": 5, "frame": 5, "conjunct": 5, "never": [5, 7, 9], "disabl": [5, 9, 10], "intern": [5, 7, 9], "recoveri": 5, "greatli": 5, "unreli": 5, "implic": 5, "better": 5, "udpinterfac": 5, "forward_ip": 5, "255": [5, 10], "forward_port": 5, "assum": [5, 9], "55": 5, "72": 5, "24": 5, "equival": 5, "forward": [5, 7, 9, 11], "segment": [5, 6, 7, 9, 11], "15": 5, "16": [5, 9, 10], "ttyusb0": [5, 10], "ble": 5, "pair": [5, 7, 9, 10], "mac": [5, 9], "3b87": 5, "f4": 5, "12": [5, 10], "73": [5, 10], "29": 5, "4e": 5, "89": 5, "867": 5, "mhz": [5, 9], "867200000": 5, "125": 5, "khz": 5, "125000": 5, "tx": [5, 10], "7": [5, 9, 10], "mw": 5, "txpower": 5, "spread": [5, 10], "factor": [5, 7, 10], "fastest": [5, 9], "longest": 5, "spreadingfactor": 5, "throough": 5, "codingr": 5, "interv": [5, 7, 10], "id_callsign": 5, "mycal": 5, "id_interv": 5, "600": 5, "homebrew": 5, "amount": [5, 6, 7, 9, 11], "ram": 5, "flow_control": 5, "airtim": 5, "term": [5, 6, 9], "appli": [5, 7, 9], "approxim": 5, "enforc": [5, 7], "roll": 5, "airtime_limit_long": 5, "airtime_limit_short": 5, "33": 5, "sub": 5, "individu": [5, 7, 9, 11], "rnodemultiinterfac": 5, "ttyacm0": 5, "subinterfac": 5, "highdatar": 5, "themselv": 5, "4ghz": 5, "2400000000": 5, "1625": 5, "1625000": 5, "person": [5, 9], "wrote": 5, "vport": 5, "lowdatar": 5, "865": 5, "6": [5, 7, 10], "865600000": 5, "laser": 5, "baud": [5, 10], "speed": [5, 9, 10], "115200": [5, 10], "databit": [5, 10], "pariti": [5, 10], "stopbit": [5, 10], "stdin": [5, 10], "netcat": 5, "l": [5, 10], "5757": 5, "respawn": 5, "respawn_delai": 5, "continu": [5, 7, 8, 11], "scan": 5, "eof": 5, "respawn_interv": 5, "varieti": [5, 9, 10, 11], "openmodem": [5, 11], "period": [5, 7, 9], "beacon": 5, "station": 5, "kissinterfac": [5, 10], "ttyusb1": 5, "preambl": [5, 10], "150": [5, 10], "tail": 5, "txtail": [5, 10], "cdma": 5, "200": [5, 10], "slottim": [5, 10], "20": [5, 9, 10], "elaps": 5, "comment": [5, 10], "amateur": [5, 11], "spectrum": [5, 9], "encapsul": [5, 11], "callsign": 5, "ssid": 5, "realli": [5, 7], "doesn": 5, "incur": 5, "ax25kissinterfac": 5, "no1cll": 5, "ttyusb2": 5, "150m": 5, "increas": [5, 10], "squelch": 5, "rx": [5, 10], "turnaround": 5, "kept": [5, 6, 7, 9], "wast": 5, "bring": 5, "brought": [5, 10], "access_point": 5, "shorthand": [5, 10], "ap": 5, "shorter": 5, "expiri": [5, 7], "mostli": [5, 6, 9], "quiet": [5, 10], "momentarili": 5, "disappear": [5, 10], "network_nam": 5, "passphras": [5, 9], "alon": 5, "ifac_s": 5, "customis": 5, "carri": [5, 7, 9, 10], "512": [5, 7, 9], "announce_cap": [5, 7], "maximum": [5, 7, 9, 10], "alloc": [5, 7, 9, 10], "given": [5, 6, 7, 9, 10], "upkeep": 5, "cap": 5, "queue": [5, 9], "nearbi": 5, "overwhelm": [5, 7], "rapidli": 5, "spam": 5, "effect": 5, "excess": 5, "benefici": [5, 10], "balanc": 5, "necesarri": 5, "bitrat": [5, 7], "report": [5, 7, 8, 10], "guess": 5, "per": [5, 7, 9, 10, 11], "strictli": [5, 9], "complex": [5, 9], "left": [5, 7, 9, 10], "gw": 5, "behalf": 5, "resid": 5, "requestor": 5, "chain": 5, "along": [5, 6, 7, 9, 10], "boundari": 5, "remain": [5, 7, 9], "roam": 5, "mobil": [5, 9], "seen": [5, 9], "perspect": [5, 7, 9, 11], "vehicl": 5, "equip": [5, 6, 9], "side": [5, 11], "expir": 5, "significantli": 5, "impact": [5, 7, 9], "announce_rate_target": 5, "announce_rate_grac": 5, "announce_rate_penalti": 5, "basi": [5, 7, 9, 10, 11], "moder": 5, "violat": 5, "penalti": 5, "7200": 5, "annouce_cap": 5, "mention": [5, 9], "strategi": [5, 7], "decis": [5, 9], "slower": [5, 7, 9], "hop": [5, 6, 7, 9, 10, 11], "right": [5, 6], "tend": 5, "conserv": 5, "span": [5, 9], "seamlessli": 5, "influx": 5, "newli": [5, 6, 7, 9], "previous": [5, 6, 7, 9], "occur": [5, 10, 11], "hold": [5, 7, 9], "interrupt": 5, "burst": 5, "subsid": 5, "held": [5, 10], "decid": [5, 6, 7, 9], "bogu": 5, "retransmit": [5, 9], "ingress": 5, "disrupt": 5, "neccessari": 5, "modifi": [5, 9, 10, 11], "ingress_control": 5, "ic_new_tim": 5, "spawn": 5, "ic_burst_freq_new": 5, "ic_burst_freq": 5, "temporarili": 5, "ic_max_held_announc": 5, "drop": [5, 7, 9, 10], "256": [5, 7, 9, 10], "ic_burst_hold": 5, "threshold": 5, "ic_burst_penalti": 5, "ic_held_release_interv": 5, "30": [5, 7, 10], "knowledg": [6, 9], "tradit": [6, 9], "worri": 6, "coordin": [6, 7, 9, 11], "evolv": 6, "futur": [6, 9], "mind": [6, 11], "autonom": [6, 9], "terminologi": [6, 9], "rest": [6, 11], "billion": [6, 9], "larger": [6, 9, 10], "higher": [6, 11], "discrimin": 6, "ephemer": [6, 7, 9, 11], "ellipt": [6, 7, 9, 11], "curv": [6, 7, 9, 11], "diffi": [6, 9, 11], "hellman": [6, 9, 11], "exchang": [6, 7, 9, 11], "curve25519": [6, 7, 9, 11], "throttl": 6, "thu": [6, 7, 9], "agnost": [6, 9], "equal": [6, 7, 9], "keystor": 6, "degrad": 6, "stationari": [6, 10], "candid": 6, "optim": 6, "intend": [6, 9], "trustless": [6, 9, 11], "free": [6, 8, 11], "unorganis": 6, "manner": 6, "far": [6, 9], "unexplor": 6, "dissolv": 6, "organ": 6, "restrict": [6, 10], "legaci": 6, "unifi": 6, "underli": [6, 11], "carrier": [6, 11], "involv": 6, "hardlin": 6, "illustr": [6, 9], "plan": [6, 9], "member": 6, "mainli": 6, "three": [6, 9], "hill": 6, "top": [6, 9], "chosen": [6, 9], "sight": 6, "becaus": [6, 9], "four": 6, "At": [6, 9], "cabl": 6, "suppli": [6, 7, 9], "grow": 6, "core": [6, 9, 10, 11], "those": [6, 9], "d": [6, 10], "island": 6, "investig": 6, "dori": 6, "willing": 6, "she": 6, "her": 6, "home": [6, 10], "everyon": [6, 9], "vice": [6, 11], "versa": [6, 11], "vhf": [6, 9, 11], "outli": 6, "geograph": 6, "coverag": 6, "dai": [6, 7], "find": [6, 9], "poorli": 6, "outlier": 6, "signatur": [7, 9, 10, 11], "configdir": 7, "logdest": 7, "verbos": [7, 10], "exactli": [7, 9], "independ": [7, 9, 11], "inter": 7, "soon": 7, "kill": [7, 9], "forcibli": [7, 10], "advantag": 7, "demand": 7, "mtu": [7, 9, 11], "500": [7, 9, 11], "adher": 7, "prerequisit": 7, "percentag": 7, "schedul": 7, "queu": [7, 10], "fewer": 7, "distant": 7, "smaller": 7, "eventu": 7, "alter": [7, 9], "minimum_bitr": 7, "static": 7, "get_inst": 7, "should_use_implicit_proof": 7, "explicit": 7, "implicit": [7, 9], "transport_en": 7, "remote_management_en": 7, "create_kei": 7, "decrypt": [7, 9, 10], "verif": [7, 9, 10], "sign": [7, 9, 10], "dh": 7, "keysiz": 7, "x": [7, 9, 10], "25519": 7, "concaten": 7, "ratchets": 7, "ratchet": [7, 9], "ratchet_expiri": 7, "2592000": 7, "recent": [7, 9], "rememb": [7, 9], "discard": [7, 9], "newer": [7, 9], "meantim": 7, "128": [7, 9, 11], "constant": 7, "truncat": [7, 9, 10], "recall_app_data": 7, "heard": [7, 9], "full_hash": 7, "sha": [7, 9], "truncated_hash": 7, "current_ratchet_id": 7, "from_byt": 7, "prv_byte": 7, "save": [7, 9], "hazard": 7, "feed": 7, "from_fil": 7, "to_fil": 7, "Be": [7, 10], "get_private_kei": 7, "get_public_kei": 7, "load_private_kei": 7, "load_public_kei": 7, "pub_byt": 7, "ciphertext": 7, "token": [7, 9], "keyerror": 7, "ciphertext_token": 7, "enforce_ratchet": 7, "ratchet_id_receiv": 7, "presenc": 7, "ingo": 7, "zero": [7, 11], "ratchet_count": 7, "ratchet_interv": 7, "1800": 7, "rotat": [7, 9], "expand_nam": 7, "app_and_aspects_from_nam": 7, "full_nam": [7, 10], "tupl": 7, "hash_from_name_and_ident": 7, "adress": [7, 9], "path_respons": 7, "attached_interfac": 7, "tag": 7, "flag": [7, 9], "accepts_link": 7, "accept": [7, 8, 9, 10], "set_proof_requested_callback": 7, "proof_strategi": 7, "prove_non": 7, "prove_app": 7, "proof_requested_callback": 7, "allow_non": 7, "allowed_list": 7, "whatev": [7, 9], "funcion": 7, "allow_list": 7, "deregister_request_handl": 7, "deregist": 7, "enable_ratchet": 7, "ratchets_path": 7, "secreci": [7, 9, 11], "outsid": [7, 11], "succeed": 7, "set_retained_ratchet": 7, "retained_ratchet": 7, "set_ratchet_interv": 7, "symmetr": [7, 9], "typeerror": 7, "incompat": [7, 9], "set_default_app_data": 7, "clear_default_app_data": 7, "ae": [7, 9, 11], "instanti": 7, "encrypted_mdu": 7, "383": 7, "plain_mdu": 7, "464": 7, "resend": 7, "get_statu": 7, "cull": 7, "successful": 7, "proven": [7, 9], "established_callback": 7, "closed_callback": 7, "establishment_timeout_per_hop": 7, "keepalive_timeout_factor": 7, "4": [7, 9, 10], "calcul": [7, 9, 10], "stale_grac": 7, "grace": 7, "keepal": [7, 9], "360": 7, "aliv": [7, 9], "stale_tim": 7, "720": 7, "mark": [7, 9], "stale": 7, "torn": 7, "progress_callback": 7, "info": [7, 9, 10], "track_phy_stat": 7, "track": 7, "retriev": 7, "stat": [7, 10], "qualiti": 7, "get_rssi": 7, "signal": [7, 9], "strength": [7, 11], "get_snr": 7, "nois": 7, "ratio": 7, "get_q": 7, "get_establishment_r": 7, "ocur": 7, "get_ag": 7, "no_inbound_for": 7, "no_outbound_for": 7, "outbound": [7, 9], "no_data_for": 7, "travers": [7, 9], "exclud": [7, 9], "inactive_for": 7, "purg": 7, "set_resource_callback": 7, "get_transfer_s": 7, "get_data_s": 7, "get_part": 7, "is_compress": 7, "begun": 7, "resource_strategi": 7, "accept_non": 7, "accept_app": 7, "resource_callback": 7, "unsupport": 7, "get_request_id": 7, "get_respons": 7, "get_response_tim": 7, "auto_compress": 7, "arbitrari": [7, 9, 10, 11], "checksum": [7, 11], "Will": 7, "whenev": [7, 9], "cancel": 7, "total": [7, 9, 11], "get_seg": 7, "divid": 7, "get_hash": 7, "bi": [7, 9], "neither": [7, 9], "constrain": 7, "message_class": 7, "recept": 7, "messagecallbacktyp": 7, "bool": 7, "stop": [7, 11], "remove_message_handl": 7, "remov": [7, 10], "envelop": 7, "thrown": 7, "consum": 7, "adjust": 7, "accommod": [7, 9], "abstract": [7, 9], "greater": [7, 9, 11], "popul": 7, "bufferedread": 7, "bufferedwrit": 7, "bufferedrwpair": 7, "create_read": 7, "ready_callback": 7, "document": [7, 9], "create_writ": 7, "receive_stream_id": 7, "send_stream_id": 7, "rawiobas": 7, "add_ready_callback": 7, "cb": [7, 9], "remove_ready_callback": 7, "pathfinder_m": 7, "attribut": 7, "receive_path_respons": 7, "live": 7, "deregister_announce_handl": 7, "hops_to": 7, "next_hop": 7, "next_hop_interfac": 7, "on_interfac": 7, "recurs": 7, "gratefulli": 8, "monero": 8, "84fpy1qbxhcgdseepynmhthcrgmx4nffbytz2gkytoqhvvhjp8eaw1z1eedrnkd19b3b8nilcgvxzkv17ummmeescrpya5w": 8, "ethereum": 8, "0x81f7b979fea6134ba9fd5c701b3501a2e61e897a": 8, "bitcoin": 8, "3cpmacgm34qyvr6xwlvejmi2ane3pzquuq": 8, "ko": 8, "fi": 8, "roadmap": 8, "realiti": 8, "quickli": [8, 9, 11], "sponsor": [8, 11], "dysfunct": 8, "analyt": 8, "telemetri": 8, "collect": 8, "under": [8, 10, 11], "circumst": [8, 9], "reli": [8, 9], "fashion": 8, "u": [8, 9, 10], "suggest": 8, "overal": 9, "principl": [9, 11], "author": 9, "That": 9, "said": 9, "pretti": 9, "sentiment": 9, "philosophi": 9, "seek": 9, "solv": 9, "primari": 9, "lack": 9, "my": 9, "belief": 9, "highli": 9, "machin": 9, "censorship": [9, 11], "barrier": 9, "todai": 9, "centralis": 9, "trust": [9, 10], "approv": 9, "gatekeep": 9, "inevit": 9, "govern": 9, "censor": 9, "persecut": 9, "unwant": 9, "actor": 9, "imposs": 9, "freeli": 9, "deploi": 9, "enhanc": 9, "agenc": 9, "freedom": 9, "permissionless": 9, "pick": 9, "ghz": 9, "off": [9, 10, 11], "shelf": [9, 11], "ultim": 9, "vast": 9, "myriad": 9, "thousand": 9, "surveil": 9, "disassoci": 9, "oversight": 9, "beings": 9, "useabl": 9, "critic": [9, 10], "agnostic": 9, "shall": 9, "handheld": 9, "strong": 9, "oneself": 9, "unlicens": 9, "licens": 9, "condit": [9, 11], "pmr": 9, "written": 9, "programm": 9, "orient": 9, "multipoint": 9, "recipi": 9, "singular": 9, "_represented_": 9, "characterist": 9, "13425ec15b621c1d928589718000d814": 9, "trade": 9, "simultan": 9, "unlik": 9, "nears": 9, "congest": 9, "galact": 9, "scale": [9, 11], "obvious": 9, "ridicul": 9, "asymmetr": [9, 10, 11], "special": [9, 10], "ecdh": [9, 11], "creator": 9, "correspond": 9, "possess": 9, "although": [9, 11], "capabl": 9, "dot": [9, 10], "notat": [9, 10], "repres": 9, "plenti": 9, "environment": 9, "monitor": 9, "measur": 9, "environmentlogg": 9, "remotesensor": 9, "temperatur": 9, "4faf1b2e0a077e6a9d92fa051f256038": 9, "advis": 9, "expand": 9, "recap": 9, "indirectli": 9, "ledger": 9, "therefor": [9, 11], "messeng": 9, "nicknam": 9, "ed25519": [9, 11], "reconstruct": 9, "notic": [9, 10], "piec": 9, "intention": 9, "infer": 9, "throughout": 9, "accord": 9, "around": 9, "topic": 9, "necessarili": 9, "entiti": 9, "robot": 9, "sensor": 9, "someth": 9, "agent": 9, "manipul": [9, 11], "multilater": 9, "creation": [9, 11], "great": 9, "suffic": 9, "cluster": 9, "hear": 9, "But": 9, "lot": 9, "surviv": 9, "overcom": 9, "challeng": 9, "closer": 9, "distinguish": 9, "contend": 9, "enable_transport": [9, 10], "randomis": 9, "prioriti": 9, "invers": 9, "proport": 9, "count": 9, "insert": 9, "closest": 9, "newest": 9, "contact": 9, "shortest": 9, "predict": 9, "constantli": 9, "earlier": 9, "opt": 9, "against": 9, "bidirect": 9, "ten": 9, "advanc": 9, "confirm": [9, 11], "insid": 9, "intermediari": 9, "moment": [9, 11], "methodologi": [9, 10], "answer": [9, 10], "malici": 9, "pretend": 9, "recreat": 9, "memori": 9, "thereaft": 9, "297": [9, 11], "neglig": 9, "1200": 9, "96": 9, "x25519": [9, 11], "keypair": 9, "simplic": 9, "explan": [9, 10], "lki": 9, "laid": 9, "had": 9, "construct": [9, 11], "lkr": 9, "chose": 9, "direction": 9, "unequivoc": 9, "retransmiss": 9, "reassembl": 9, "programmat": 9, "satisfi": 9, "half": [9, 11], "duplex": [9, 11], "averag": 9, "throughput": [9, 11], "runtim": 9, "consist": 9, "whereupon": 9, "stabl": [9, 11], "stage": 9, "year": 9, "microcontrol": 9, "430": 9, "868": 9, "900": 9, "tailor": 9, "treat": 9, "regard": 9, "preshar": 9, "ifac": [9, 10], "compos": 9, "field": 9, "context": 9, "465": 9, "vari": [9, 10], "unset": 9, "00": [9, 10], "01": 9, "11": 9, "_______": 9, "________________": 9, "________": 9, "______": 9, "__": 9, "_": 9, "01010000": 9, "00000100": 9, "hash1": 9, "hash2": 9, "header_2": 9, "00000000": 9, "00000111": 9, "header_1": 9, "10000000": 9, "51": 9, "167": 9, "83": [9, 10], "115": 9, "99": 9, "conceptu": 9, "hkdf": 9, "fernet": [9, 11], "cbc": [9, 11], "hmac": [9, 11], "hashlib": 9, "pkcs7": [9, 11], "pad": [9, 11], "trivial": [9, 11], "consequ": 9, "backend": 9, "loss": 9, "scrutini": 9, "review": [9, 11], "risk": 9, "driver": [10, 11], "privileg": 10, "light": 10, "weight": 10, "etc": 10, "boot": 10, "ie": 10, "breviti": 10, "socket": 10, "turn": 10, "share_inst": 10, "shared_instance_port": 10, "37428": 10, "instance_control_port": 10, "37429": 10, "rpc": 10, "rpc_kei": 10, "e5c032d3ec4e64a6aca9927ba8ab73336780f6d71790": 10, "panic": 10, "unrecover": 10, "panic_on_interface_error": 10, "probe": 10, "respond_to_prob": 10, "warn": 10, "debug": 10, "unus": 10, "teach": 10, "task": 10, "08": 10, "18": 10, "17": 10, "59": 10, "56": 10, "v": 10, "show": 10, "ifconfig": 10, "gbp": 10, "13": 10, "kb": 10, "86": 10, "mbp": 10, "63": 10, "23": 10, "80": 10, "tcpinterfac": 10, "dublin": 10, "187": 10, "27": 10, "74": 10, "uhf": [10, 11], "kbp": 10, "e702c42ba8": 10, "49": 10, "9": 10, "5245a8efe1788c6a1cd36144a270e13b": 10, "j": 10, "w": 10, "posit": 10, "arx": 10, "atx": 10, "revers": 10, "json": 10, "new_ident": 10, "984b74a3f768bef236af4371e6f248cd": 10, "new_id": 10, "0f4259fef4521ab75a3409e353fe9073eb10783b4912a6a9937c57bf44a62c1": 10, "hidden": 10, "8dd57a738226809646089335a6b03695": 10, "my_fil": 10, "txt": 10, "bc7291552be7a58f361522990465165c": 10, "rfe": 10, "fetch": 10, "30602def3b3506a28ed33db6f60cc6c9": 10, "2b489d06eaf7c543808c76a5332a447d": 10, "my_ident": 10, "2225fdeecaf6e2db4556c3c2d7637294": 10, "decreas": 10, "overwrit": 10, "c89b4da064bf66d280f0e4d8abfd9806": 10, "f53a1c4278e0726bb73fcc623d6ce763": 10, "max": 10, "rnstransport": 10, "2d03725b327348980d570f739a3a5708": 10, "38": 10, "469": 10, "781": 10, "e7536ee90bd4a440e130490b87a25124": 10, "809": 10, "1726dbad538775b5bf9b0ea25a4079c8": 10, "c50cc4e4f7838b6c31f60ab9032cbc62": 10, "allowed_ident": 10, "copi": 10, "tgz": 10, "73cbd378bb0286ed11a707c13447bb1": 10, "allowed_hash": 10, "silent": 10, "jail": 10, "auth": 10, "941bed5e228775e5a8079fc38b1ccf3f": 10, "1b03013c25f1c2ca068a4f080b844a10": 10, "7a55144adf826958a9529a3bcf08b149": 10, "cat": 10, "proc": 10, "cpuinfo": 10, "pseudo": 10, "stderr": 10, "noauth": 10, "noid": 10, "mirror": 10, "fw": 10, "url": 10, "nocheck": 10, "flash": 10, "baud_flash": 10, "addr": 10, "freq": 10, "hz": 10, "bw": 10, "txp": 10, "sf": 10, "cr": 10, "eeprom": 10, "backup": 10, "dump": 10, "wipe": 10, "hexbyt": 10, "firmware_hash": 10, "product": 10, "model": 10, "hwrev": 10, "revis": 10, "attach": 10, "older": 10, "onlin": 10, "extract": 10, "cach": 10, "921600": 10, "bluetooth": 10, "intens": 10, "ff": 10, "consol": 10, "unlock": 10, "bootstrap": 10, "rom": 10, "enable_remote_manag": 10, "remote_management_allow": 10, "9fb6d773498fb3feda407ed8ef2c3229": 10, "2d882c5586e548d79b5af27bca1776dc": 10, "administr": 10, "ftdi_ft230x_basic_uart_43891ckm": 10, "if00": 10, "port0": 10, "plug": 10, "unplug": 10, "systemd": 10, "usr": 10, "bin": 10, "execstartpr": 10, "restart": 10, "restartsec": 10, "usernameher": 10, "execstart": 10, "wantedbi": 10, "systemctl": 10, "whole": 10, "regular": 10, "rns_bin_dir": 10, "eg": 10, "reload": 10, "loginctl": 10, "linger": 10, "readili": 11, "advers": 11, "unforg": 11, "acknowledg": 11, "empow": 11, "autonomi": 11, "sovereignti": 11, "subject": 11, "planetari": 11, "hierarch": 11, "beaureucrat": 11, "resili": 11, "userland": 11, "beta": 11, "bug": 11, "warrant": 11, "sha256": 11, "iv": 11, "urandom": 11, "intuit": 11, "44": 11, "gigabyt": 11, "scalabl": 11, "hoc": 11, "transform": 11, "fact": 11, "generalis": 11, "fibr": 11, "popular": 11, "ideal": 11, "stdio": 11, "hack": 11, "foremost": 11, "audit": 11, "privaci": 11, "thorough": 11, "research": 11, "touch": 11}, "objects": {"RNS": [[7, 0, 1, "", "Buffer"], [7, 0, 1, "", "Destination"], [7, 0, 1, "", "Identity"], [7, 0, 1, "", "Link"], [7, 0, 1, "", "MessageBase"], [7, 0, 1, "", "Packet"], [7, 0, 1, "", "PacketReceipt"], [7, 0, 1, "", "RawChannelReader"], [7, 0, 1, "", "RawChannelWriter"], [7, 0, 1, "", "RequestReceipt"], [7, 0, 1, "", "Resource"], [7, 0, 1, "", "Reticulum"], [7, 0, 1, "", "Transport"]], "RNS.Buffer": [[7, 1, 1, "", "create_bidirectional_buffer"], [7, 1, 1, "", "create_reader"], [7, 1, 1, "", "create_writer"]], "RNS.Channel": [[7, 0, 1, "", "Channel"]], "RNS.Channel.Channel": [[7, 2, 1, "", "MDU"], [7, 1, 1, "", "add_message_handler"], [7, 1, 1, "", "is_ready_to_send"], [7, 1, 1, "", "register_message_type"], [7, 1, 1, "", "remove_message_handler"], [7, 1, 1, "", "send"]], "RNS.Destination": [[7, 3, 1, "", "RATCHET_COUNT"], [7, 3, 1, "", "RATCHET_INTERVAL"], [7, 1, 1, "", "accepts_links"], [7, 1, 1, "", "announce"], [7, 1, 1, "", "app_and_aspects_from_name"], [7, 1, 1, "", "clear_default_app_data"], [7, 1, 1, "", "create_keys"], [7, 1, 1, "", "decrypt"], [7, 1, 1, "", "deregister_request_handler"], [7, 1, 1, "", "enable_ratchets"], [7, 1, 1, "", "encrypt"], [7, 1, 1, "", "enforce_ratchets"], [7, 1, 1, "", "expand_name"], [7, 1, 1, "", "get_private_key"], [7, 1, 1, "", "hash"], [7, 1, 1, "", "hash_from_name_and_identity"], [7, 1, 1, "", "load_private_key"], [7, 1, 1, "", "register_request_handler"], [7, 1, 1, "", "set_default_app_data"], [7, 1, 1, "", "set_link_established_callback"], [7, 1, 1, "", "set_packet_callback"], [7, 1, 1, "", "set_proof_requested_callback"], [7, 1, 1, "", "set_proof_strategy"], [7, 1, 1, "", "set_ratchet_interval"], [7, 1, 1, "", "set_retained_ratchets"], [7, 1, 1, "", "sign"]], "RNS.Identity": [[7, 3, 1, "", "CURVE"], [7, 3, 1, "", "KEYSIZE"], [7, 3, 1, "", "RATCHETSIZE"], [7, 3, 1, "", "RATCHET_EXPIRY"], [7, 3, 1, "", "TRUNCATED_HASHLENGTH"], [7, 1, 1, "", "current_ratchet_id"], [7, 1, 1, "", "decrypt"], [7, 1, 1, "", "encrypt"], [7, 1, 1, "", "from_bytes"], [7, 1, 1, "", "from_file"], [7, 1, 1, "", "full_hash"], [7, 1, 1, "", "get_private_key"], [7, 1, 1, "", "get_public_key"], [7, 1, 1, "", "get_random_hash"], [7, 1, 1, "", "load_private_key"], [7, 1, 1, "", "load_public_key"], [7, 1, 1, "", "recall"], [7, 1, 1, "", "recall_app_data"], [7, 1, 1, "", "sign"], [7, 1, 1, "", "to_file"], [7, 1, 1, "", "truncated_hash"], [7, 1, 1, "", "validate"]], "RNS.Link": [[7, 3, 1, "", "CURVE"], [7, 3, 1, "", "ESTABLISHMENT_TIMEOUT_PER_HOP"], [7, 3, 1, "", "KEEPALIVE"], [7, 3, 1, "", "KEEPALIVE_TIMEOUT_FACTOR"], [7, 3, 1, "", "STALE_GRACE"], [7, 3, 1, "", "STALE_TIME"], [7, 1, 1, "", "get_age"], [7, 1, 1, "", "get_channel"], [7, 1, 1, "", "get_establishment_rate"], [7, 1, 1, "", "get_q"], [7, 1, 1, "", "get_remote_identity"], [7, 1, 1, "", "get_rssi"], [7, 1, 1, "", "get_snr"], [7, 1, 1, "", "identify"], [7, 1, 1, "", "inactive_for"], [7, 1, 1, "", "no_data_for"], [7, 1, 1, "", "no_inbound_for"], [7, 1, 1, "", "no_outbound_for"], [7, 1, 1, "", "request"], [7, 1, 1, "", "set_link_closed_callback"], [7, 1, 1, "", "set_packet_callback"], [7, 1, 1, "", "set_remote_identified_callback"], [7, 1, 1, "", "set_resource_callback"], [7, 1, 1, "", "set_resource_concluded_callback"], [7, 1, 1, "", "set_resource_started_callback"], [7, 1, 1, "", "set_resource_strategy"], [7, 1, 1, "", "teardown"], [7, 1, 1, "", "track_phy_stats"]], "RNS.MessageBase": [[7, 3, 1, "", "MSGTYPE"], [7, 1, 1, "", "pack"], [7, 1, 1, "", "unpack"]], "RNS.Packet": [[7, 3, 1, "", "ENCRYPTED_MDU"], [7, 3, 1, "", "PLAIN_MDU"], [7, 1, 1, "", "resend"], [7, 1, 1, "", "send"]], "RNS.PacketReceipt": [[7, 1, 1, "", "get_rtt"], [7, 1, 1, "", "get_status"], [7, 1, 1, "", "set_delivery_callback"], [7, 1, 1, "", "set_timeout"], [7, 1, 1, "", "set_timeout_callback"]], "RNS.RawChannelReader": [[7, 1, 1, "", "__init__"], [7, 1, 1, "", "add_ready_callback"], [7, 1, 1, "", "remove_ready_callback"]], "RNS.RawChannelWriter": [[7, 1, 1, "", "__init__"]], "RNS.RequestReceipt": [[7, 1, 1, "", "concluded"], [7, 1, 1, "", "get_progress"], [7, 1, 1, "", "get_request_id"], [7, 1, 1, "", "get_response"], [7, 1, 1, "", "get_response_time"], [7, 1, 1, "", "get_status"]], "RNS.Resource": [[7, 1, 1, "", "advertise"], [7, 1, 1, "", "cancel"], [7, 1, 1, "", "get_data_size"], [7, 1, 1, "", "get_hash"], [7, 1, 1, "", "get_parts"], [7, 1, 1, "", "get_progress"], [7, 1, 1, "", "get_segments"], [7, 1, 1, "", "get_transfer_size"], [7, 1, 1, "", "is_compressed"]], "RNS.Reticulum": [[7, 3, 1, "", "ANNOUNCE_CAP"], [7, 3, 1, "", "MINIMUM_BITRATE"], [7, 3, 1, "", "MTU"], [7, 1, 1, "", "get_instance"], [7, 1, 1, "", "remote_management_enabled"], [7, 1, 1, "", "should_use_implicit_proof"], [7, 1, 1, "", "transport_enabled"]], "RNS.Transport": [[7, 3, 1, "", "PATHFINDER_M"], [7, 1, 1, "", "deregister_announce_handler"], [7, 1, 1, "", "has_path"], [7, 1, 1, "", "hops_to"], [7, 1, 1, "", "next_hop"], [7, 1, 1, "", "next_hop_interface"], [7, 1, 1, "", "register_announce_handler"], [7, 1, 1, "", "request_path"]]}, "objtypes": {"0": "py:class", "1": "py:method", "2": "py:property", "3": "py:attribute"}, "objnames": {"0": ["py", "class", "Python class"], "1": ["py", "method", "Python method"], "2": ["py", "property", "Python property"], "3": ["py", "attribute", "Python attribute"]}, "titleterms": {"code": [0, 8, 9], "exampl": [0, 6], "minim": 0, "announc": [0, 5, 9], "broadcast": 0, "echo": 0, "link": [0, 9], "identif": 0, "request": 0, "respons": 0, "channel": 0, "buffer": 0, "filetransf": 0, "an": 1, "explan": 1, "reticulum": [1, 2, 3, 4, 8, 9, 10, 11], "human": 1, "Beings": 1, "get": [2, 9], "start": 2, "fast": 2, "standalon": 2, "instal": [2, 3], "resolv": 2, "depend": 2, "issu": 2, "try": 2, "us": [2, 10, 11], "base": [2, 3], "program": [2, 10], "remot": [2, 10], "shell": 2, "nomad": 2, "network": [2, 4, 6], "sideband": 2, "meshchat": 2, "includ": [2, 10], "util": [2, 10], "creat": [2, 3], "With": 2, "connect": 2, "instanc": 2, "over": [2, 6], "internet": [2, 6], "public": [2, 9], "testnet": 2, "ad": 2, "radio": [2, 3], "interfac": [2, 5, 9, 11], "develop": 2, "particip": 2, "platform": 2, "specif": [2, 9], "note": 2, "android": 2, "arm64": 2, "raspberri": 2, "pi": 2, "debian": 2, "bookworm": 2, "ubuntu": 2, "lunar": 2, "pure": 2, "python": 2, "commun": 3, "hardwar": 3, "combin": 3, "type": [3, 9, 11], "rnode": [3, 5], "support": [3, 8], "board": 3, "lilygo": 3, "t": 3, "beam": 3, "suprem": 3, "t3s3": 3, "rak4631": 3, "unsign": 3, "v2": 3, "x": 3, "lora32": 3, "1": 3, "0": 3, "v1": 3, "deck": 3, "heltec": 3, "v3": 3, "usag": 3, "wifi": 3, "ethernet": 3, "serial": [3, 5, 10], "line": 3, "devic": [3, 11], "packet": [3, 9], "modem": 3, "stack": 4, "manual": 4, "tabl": 4, "Of": 4, "content": 4, "indic": 4, "configur": [5, 10], "auto": 5, "i2p": 5, "tcp": 5, "server": 5, "client": 5, "udp": 5, "lora": [5, 6], "multi": 5, "pipe": 5, "kiss": 5, "ax": 5, "25": 5, "common": 5, "option": 5, "mode": 5, "rate": 5, "control": 5, "new": 5, "destin": [5, 9], "limit": 5, "build": 6, "concept": 6, "overview": 6, "scenario": 6, "interconnect": 6, "site": 6, "bridg": 6, "growth": 6, "converg": 6, "api": 7, "refer": [7, 9], "donat": 8, "provid": 8, "feedback": 8, "contribut": 8, "understand": 9, "motiv": 9, "goal": 9, "introduct": 9, "basic": 9, "function": 9, "name": [9, 10], "kei": 9, "ident": 9, "further": 9, "transport": 9, "node": 9, "The": [9, 10], "mechan": 9, "detail": 9, "reach": 9, "establish": 9, "resourc": 9, "setup": 9, "protocol": 9, "prioritis": 9, "access": 9, "wire": 9, "format": 9, "propag": 9, "rule": 9, "cryptograph": 9, "primit": 9, "your": 10, "system": 10, "data": 10, "rnsd": 10, "rnstatu": 10, "rnid": 10, "rnpath": 10, "rnprobe": 10, "rncp": 10, "rnx": 10, "rnodeconf": 10, "manag": 10, "improv": 10, "fix": 10, "port": 10, "servic": 10, "systemwid": 10, "userspac": 10, "what": 11, "i": 11, "current": 11, "statu": 11, "doe": 11, "offer": 11, "where": 11, "can": 11, "caveat": 11, "emptor": 11}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Code Examples": [[0, "code-examples"]], "Minimal": [[0, "minimal"]], "Announce": [[0, "announce"]], "Broadcast": [[0, "broadcast"]], "Echo": [[0, "echo"]], "Link": [[0, "link"]], "Identification": [[0, "example-identify"]], "Requests & Responses": [[0, "requests-responses"]], "Channel": [[0, "channel"]], "Buffer": [[0, "buffer"]], "Filetransfer": [[0, "filetransfer"]], "An Explanation of Reticulum for Human Beings": [[1, "an-explanation-of-reticulum-for-human-beings"]], "Getting Started Fast": [[2, "getting-started-fast"]], "Standalone Reticulum Installation": [[2, "standalone-reticulum-installation"]], "Resolving Dependency & Installation Issues": [[2, "resolving-dependency-installation-issues"]], "Try Using a Reticulum-based Program": [[2, "try-using-a-reticulum-based-program"]], "Remote Shell": [[2, "remote-shell"]], "Nomad Network": [[2, "nomad-network"]], "Sideband": [[2, "sideband"]], "MeshChat": [[2, "meshchat"]], "Using the Included Utilities": [[2, "using-the-included-utilities"]], "Creating a Network With Reticulum": [[2, "creating-a-network-with-reticulum"]], "Connecting Reticulum Instances Over the Internet": [[2, "connecting-reticulum-instances-over-the-internet"]], "Connect to the Public Testnet": [[2, "connect-to-the-public-testnet"]], "Adding Radio Interfaces": [[2, "adding-radio-interfaces"]], "Develop a Program with Reticulum": [[2, "develop-a-program-with-reticulum"]], "Participate in Reticulum Development": [[2, "participate-in-reticulum-development"]], "Platform-Specific Install Notes": [[2, "platform-specific-install-notes"]], "Android": [[2, "android"]], "ARM64": [[2, "arm64"]], "Raspberry Pi": [[2, "raspberry-pi"]], "Debian Bookworm": [[2, "debian-bookworm"]], "Ubuntu Lunar": [[2, "ubuntu-lunar"]], "Pure-Python Reticulum": [[2, "pure-python-reticulum"]], "Communications Hardware": [[3, "communications-hardware"]], "Combining Hardware Types": [[3, "combining-hardware-types"]], "RNode": [[3, "rnode"]], "Creating RNodes": [[3, "creating-rnodes"]], "Supported Boards": [[3, "supported-boards"]], "LilyGO T-Beam Supreme": [[3, "lilygo-t-beam-supreme"]], "LilyGO T-Beam": [[3, "lilygo-t-beam"]], "LilyGO T3S3": [[3, "lilygo-t3s3"]], "RAK4631-based Boards": [[3, "rak4631-based-boards"]], "Unsigned RNode v2.x": [[3, "unsigned-rnode-v2-x"]], "LilyGO LoRa32 v2.1": [[3, "lilygo-lora32-v2-1"]], "LilyGO LoRa32 v2.0": [[3, "lilygo-lora32-v2-0"]], "LilyGO LoRa32 v1.0": [[3, "lilygo-lora32-v1-0"]], "LilyGO T-Deck": [[3, "lilygo-t-deck"]], "Heltec LoRa32 v3.0": [[3, "heltec-lora32-v3-0"]], "Heltec LoRa32 v2.0": [[3, "heltec-lora32-v2-0"]], "Unsigned RNode v1.x": [[3, "unsigned-rnode-v1-x"]], "Installation": [[3, "installation"]], "Usage with Reticulum": [[3, "usage-with-reticulum"]], "WiFi-based Hardware": [[3, "wifi-based-hardware"]], "Ethernet-based Hardware": [[3, "ethernet-based-hardware"]], "Serial Lines & Devices": [[3, "serial-lines-devices"]], "Packet Radio Modems": [[3, "packet-radio-modems"]], "Reticulum Network Stack Manual": [[4, "reticulum-network-stack-manual"]], "Table Of Contents": [[4, "table-of-contents"]], "Indices and Tables": [[4, "indices-and-tables"]], "Configuring Interfaces": [[5, "configuring-interfaces"]], "Auto Interface": [[5, "auto-interface"]], "I2P Interface": [[5, "i2p-interface"]], "TCP Server Interface": [[5, "tcp-server-interface"]], "TCP Client Interface": [[5, "tcp-client-interface"]], "UDP Interface": [[5, "udp-interface"]], "RNode LoRa Interface": [[5, "rnode-lora-interface"]], "RNode Multi Interface": [[5, "rnode-multi-interface"]], "Serial Interface": [[5, "serial-interface"]], "Pipe Interface": [[5, "pipe-interface"]], "KISS Interface": [[5, "kiss-interface"]], "AX.25 KISS Interface": [[5, "ax-25-kiss-interface"]], "Common Interface Options": [[5, "common-interface-options"]], "Interface Modes": [[5, "interface-modes"]], "Announce Rate Control": [[5, "announce-rate-control"]], "New Destination Rate Limiting": [[5, "new-destination-rate-limiting"]], "Building Networks": [[6, "building-networks"]], "Concepts & Overview": [[6, "concepts-overview"]], "Example Scenarios": [[6, "example-scenarios"]], "Interconnected LoRa Sites": [[6, "interconnected-lora-sites"]], "Bridging Over the Internet": [[6, "bridging-over-the-internet"]], "Growth and Convergence": [[6, "growth-and-convergence"]], "API Reference": [[7, "api-reference"]], "Support Reticulum": [[8, "support-reticulum"]], "Donations": [[8, "donations"]], "Provide Feedback": [[8, "provide-feedback"]], "Contribute Code": [[8, "contribute-code"]], "Understanding Reticulum": [[9, "understanding-reticulum"]], "Motivation": [[9, "motivation"]], "Goals": [[9, "goals"]], "Introduction & Basic Functionality": [[9, "introduction-basic-functionality"]], "Destinations": [[9, "destinations"]], "Destination Naming": [[9, "destination-naming"]], "Public Key Announcements": [[9, "public-key-announcements"]], "Identities": [[9, "understanding-identities"]], "Getting Further": [[9, "getting-further"]], "Reticulum Transport": [[9, "reticulum-transport"]], "Node Types": [[9, "node-types"]], "The Announce Mechanism in Detail": [[9, "the-announce-mechanism-in-detail"]], "Reaching the Destination": [[9, "reaching-the-destination"]], "Link Establishment in Detail": [[9, "link-establishment-in-detail"]], "Resources": [[9, "resources"]], "Reference Setup": [[9, "reference-setup"]], "Protocol Specifics": [[9, "protocol-specifics"]], "Packet Prioritisation": [[9, "packet-prioritisation"]], "Interface Access Codes": [[9, "interface-access-codes"]], "Wire Format": [[9, "wire-format"]], "Announce Propagation Rules": [[9, "announce-propagation-rules"]], "Cryptographic Primitives": [[9, "cryptographic-primitives"]], "Using Reticulum on Your System": [[10, "using-reticulum-on-your-system"]], "Configuration & Data": [[10, "configuration-data"]], "Included Utility Programs": [[10, "included-utility-programs"]], "The rnsd Utility": [[10, "the-rnsd-utility"]], "The rnstatus Utility": [[10, "the-rnstatus-utility"]], "The rnid Utility": [[10, "the-rnid-utility"]], "The rnpath Utility": [[10, "the-rnpath-utility"]], "The rnprobe Utility": [[10, "the-rnprobe-utility"]], "The rncp Utility": [[10, "the-rncp-utility"]], "The rnx Utility": [[10, "the-rnx-utility"]], "The rnodeconf Utility": [[10, "the-rnodeconf-utility"]], "Remote Management": [[10, "remote-management"]], "Improving System Configuration": [[10, "improving-system-configuration"]], "Fixed Serial Port Names": [[10, "fixed-serial-port-names"]], "Reticulum as a System Service": [[10, "reticulum-as-a-system-service"]], "Systemwide Service": [[10, "systemwide-service"]], "Userspace Service": [[10, "userspace-service"]], "What is Reticulum?": [[11, "what-is-reticulum"]], "Current Status": [[11, "current-status"]], "What does Reticulum Offer?": [[11, "what-does-reticulum-offer"]], "Where can Reticulum be Used?": [[11, "where-can-reticulum-be-used"]], "Interface Types and Devices": [[11, "interface-types-and-devices"]], "Caveat Emptor": [[11, "caveat-emptor"]]}, "indexentries": {"announce_cap (rns.reticulum attribute)": [[7, "RNS.Reticulum.ANNOUNCE_CAP"]], "buffer (class in rns)": [[7, "RNS.Buffer"]], "curve (rns.identity attribute)": [[7, "RNS.Identity.CURVE"]], "curve (rns.link attribute)": [[7, "RNS.Link.CURVE"]], "channel (class in rns.channel)": [[7, "RNS.Channel.Channel"]], "destination (class in rns)": [[7, "RNS.Destination"]], "encrypted_mdu (rns.packet attribute)": [[7, "RNS.Packet.ENCRYPTED_MDU"]], "establishment_timeout_per_hop (rns.link attribute)": [[7, "RNS.Link.ESTABLISHMENT_TIMEOUT_PER_HOP"]], "identity (class in rns)": [[7, "RNS.Identity"]], "keepalive (rns.link attribute)": [[7, "RNS.Link.KEEPALIVE"]], "keepalive_timeout_factor (rns.link attribute)": [[7, "RNS.Link.KEEPALIVE_TIMEOUT_FACTOR"]], "keysize (rns.identity attribute)": [[7, "RNS.Identity.KEYSIZE"]], "link (class in rns)": [[7, "RNS.Link"]], "mdu (rns.channel.channel property)": [[7, "RNS.Channel.Channel.MDU"]], "minimum_bitrate (rns.reticulum attribute)": [[7, "RNS.Reticulum.MINIMUM_BITRATE"]], "msgtype (rns.messagebase attribute)": [[7, "RNS.MessageBase.MSGTYPE"]], "mtu (rns.reticulum attribute)": [[7, "RNS.Reticulum.MTU"]], "messagebase (class in rns)": [[7, "RNS.MessageBase"]], "pathfinder_m (rns.transport attribute)": [[7, "RNS.Transport.PATHFINDER_M"]], "plain_mdu (rns.packet attribute)": [[7, "RNS.Packet.PLAIN_MDU"]], "packet (class in rns)": [[7, "RNS.Packet"]], "packetreceipt (class in rns)": [[7, "RNS.PacketReceipt"]], "ratchetsize (rns.identity attribute)": [[7, "RNS.Identity.RATCHETSIZE"]], "ratchet_count (rns.destination attribute)": [[7, "RNS.Destination.RATCHET_COUNT"]], "ratchet_expiry (rns.identity attribute)": [[7, "RNS.Identity.RATCHET_EXPIRY"]], "ratchet_interval (rns.destination attribute)": [[7, "RNS.Destination.RATCHET_INTERVAL"]], "rawchannelreader (class in rns)": [[7, "RNS.RawChannelReader"]], "rawchannelwriter (class in rns)": [[7, "RNS.RawChannelWriter"]], "requestreceipt (class in rns)": [[7, "RNS.RequestReceipt"]], "resource (class in rns)": [[7, "RNS.Resource"]], "reticulum (class in rns)": [[7, "RNS.Reticulum"]], "stale_grace (rns.link attribute)": [[7, "RNS.Link.STALE_GRACE"]], "stale_time (rns.link attribute)": [[7, "RNS.Link.STALE_TIME"]], "truncated_hashlength (rns.identity attribute)": [[7, "RNS.Identity.TRUNCATED_HASHLENGTH"]], "transport (class in rns)": [[7, "RNS.Transport"]], "__init__() (rns.rawchannelreader method)": [[7, "RNS.RawChannelReader.__init__"]], "__init__() (rns.rawchannelwriter method)": [[7, "RNS.RawChannelWriter.__init__"]], "accepts_links() (rns.destination method)": [[7, "RNS.Destination.accepts_links"]], "add_message_handler() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.add_message_handler"]], "add_ready_callback() (rns.rawchannelreader method)": [[7, "RNS.RawChannelReader.add_ready_callback"]], "advertise() (rns.resource method)": [[7, "RNS.Resource.advertise"]], "announce() (rns.destination method)": [[7, "RNS.Destination.announce"]], "app_and_aspects_from_name() (rns.destination static method)": [[7, "RNS.Destination.app_and_aspects_from_name"]], "cancel() (rns.resource method)": [[7, "RNS.Resource.cancel"]], "clear_default_app_data() (rns.destination method)": [[7, "RNS.Destination.clear_default_app_data"]], "concluded() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.concluded"]], "create_bidirectional_buffer() (rns.buffer static method)": [[7, "RNS.Buffer.create_bidirectional_buffer"]], "create_keys() (rns.destination method)": [[7, "RNS.Destination.create_keys"]], "create_reader() (rns.buffer static method)": [[7, "RNS.Buffer.create_reader"]], "create_writer() (rns.buffer static method)": [[7, "RNS.Buffer.create_writer"]], "current_ratchet_id() (rns.identity static method)": [[7, "RNS.Identity.current_ratchet_id"]], "decrypt() (rns.destination method)": [[7, "RNS.Destination.decrypt"]], "decrypt() (rns.identity method)": [[7, "RNS.Identity.decrypt"]], "deregister_announce_handler() (rns.transport static method)": [[7, "RNS.Transport.deregister_announce_handler"]], "deregister_request_handler() (rns.destination method)": [[7, "RNS.Destination.deregister_request_handler"]], "enable_ratchets() (rns.destination method)": [[7, "RNS.Destination.enable_ratchets"]], "encrypt() (rns.destination method)": [[7, "RNS.Destination.encrypt"]], "encrypt() (rns.identity method)": [[7, "RNS.Identity.encrypt"]], "enforce_ratchets() (rns.destination method)": [[7, "RNS.Destination.enforce_ratchets"]], "expand_name() (rns.destination static method)": [[7, "RNS.Destination.expand_name"]], "from_bytes() (rns.identity static method)": [[7, "RNS.Identity.from_bytes"]], "from_file() (rns.identity static method)": [[7, "RNS.Identity.from_file"]], "full_hash() (rns.identity static method)": [[7, "RNS.Identity.full_hash"]], "get_age() (rns.link method)": [[7, "RNS.Link.get_age"]], "get_channel() (rns.link method)": [[7, "RNS.Link.get_channel"]], "get_data_size() (rns.resource method)": [[7, "RNS.Resource.get_data_size"]], "get_establishment_rate() (rns.link method)": [[7, "RNS.Link.get_establishment_rate"]], "get_hash() (rns.resource method)": [[7, "RNS.Resource.get_hash"]], "get_instance() (rns.reticulum static method)": [[7, "RNS.Reticulum.get_instance"]], "get_parts() (rns.resource method)": [[7, "RNS.Resource.get_parts"]], "get_private_key() (rns.destination method)": [[7, "RNS.Destination.get_private_key"]], "get_private_key() (rns.identity method)": [[7, "RNS.Identity.get_private_key"]], "get_progress() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_progress"]], "get_progress() (rns.resource method)": [[7, "RNS.Resource.get_progress"]], "get_public_key() (rns.identity method)": [[7, "RNS.Identity.get_public_key"]], "get_q() (rns.link method)": [[7, "RNS.Link.get_q"]], "get_random_hash() (rns.identity static method)": [[7, "RNS.Identity.get_random_hash"]], "get_remote_identity() (rns.link method)": [[7, "RNS.Link.get_remote_identity"]], "get_request_id() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_request_id"]], "get_response() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_response"]], "get_response_time() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_response_time"]], "get_rssi() (rns.link method)": [[7, "RNS.Link.get_rssi"]], "get_rtt() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.get_rtt"]], "get_segments() (rns.resource method)": [[7, "RNS.Resource.get_segments"]], "get_snr() (rns.link method)": [[7, "RNS.Link.get_snr"]], "get_status() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.get_status"]], "get_status() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_status"]], "get_transfer_size() (rns.resource method)": [[7, "RNS.Resource.get_transfer_size"]], "has_path() (rns.transport static method)": [[7, "RNS.Transport.has_path"]], "hash() (rns.destination static method)": [[7, "RNS.Destination.hash"]], "hash_from_name_and_identity() (rns.destination static method)": [[7, "RNS.Destination.hash_from_name_and_identity"]], "hops_to() (rns.transport static method)": [[7, "RNS.Transport.hops_to"]], "identify() (rns.link method)": [[7, "RNS.Link.identify"]], "inactive_for() (rns.link method)": [[7, "RNS.Link.inactive_for"]], "is_compressed() (rns.resource method)": [[7, "RNS.Resource.is_compressed"]], "is_ready_to_send() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.is_ready_to_send"]], "load_private_key() (rns.destination method)": [[7, "RNS.Destination.load_private_key"]], "load_private_key() (rns.identity method)": [[7, "RNS.Identity.load_private_key"]], "load_public_key() (rns.identity method)": [[7, "RNS.Identity.load_public_key"]], "next_hop() (rns.transport static method)": [[7, "RNS.Transport.next_hop"]], "next_hop_interface() (rns.transport static method)": [[7, "RNS.Transport.next_hop_interface"]], "no_data_for() (rns.link method)": [[7, "RNS.Link.no_data_for"]], "no_inbound_for() (rns.link method)": [[7, "RNS.Link.no_inbound_for"]], "no_outbound_for() (rns.link method)": [[7, "RNS.Link.no_outbound_for"]], "pack() (rns.messagebase method)": [[7, "RNS.MessageBase.pack"]], "recall() (rns.identity static method)": [[7, "RNS.Identity.recall"]], "recall_app_data() (rns.identity static method)": [[7, "RNS.Identity.recall_app_data"]], "register_announce_handler() (rns.transport static method)": [[7, "RNS.Transport.register_announce_handler"]], "register_message_type() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.register_message_type"]], "register_request_handler() (rns.destination method)": [[7, "RNS.Destination.register_request_handler"]], "remote_management_enabled() (rns.reticulum static method)": [[7, "RNS.Reticulum.remote_management_enabled"]], "remove_message_handler() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.remove_message_handler"]], "remove_ready_callback() (rns.rawchannelreader method)": [[7, "RNS.RawChannelReader.remove_ready_callback"]], "request() (rns.link method)": [[7, "RNS.Link.request"]], "request_path() (rns.transport static method)": [[7, "RNS.Transport.request_path"]], "resend() (rns.packet method)": [[7, "RNS.Packet.resend"]], "send() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.send"]], "send() (rns.packet method)": [[7, "RNS.Packet.send"]], "set_default_app_data() (rns.destination method)": [[7, "RNS.Destination.set_default_app_data"]], "set_delivery_callback() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.set_delivery_callback"]], "set_link_closed_callback() (rns.link method)": [[7, "RNS.Link.set_link_closed_callback"]], "set_link_established_callback() (rns.destination method)": [[7, "RNS.Destination.set_link_established_callback"]], "set_packet_callback() (rns.destination method)": [[7, "RNS.Destination.set_packet_callback"]], "set_packet_callback() (rns.link method)": [[7, "RNS.Link.set_packet_callback"]], "set_proof_requested_callback() (rns.destination method)": [[7, "RNS.Destination.set_proof_requested_callback"]], "set_proof_strategy() (rns.destination method)": [[7, "RNS.Destination.set_proof_strategy"]], "set_ratchet_interval() (rns.destination method)": [[7, "RNS.Destination.set_ratchet_interval"]], "set_remote_identified_callback() (rns.link method)": [[7, "RNS.Link.set_remote_identified_callback"]], "set_resource_callback() (rns.link method)": [[7, "RNS.Link.set_resource_callback"]], "set_resource_concluded_callback() (rns.link method)": [[7, "RNS.Link.set_resource_concluded_callback"]], "set_resource_started_callback() (rns.link method)": [[7, "RNS.Link.set_resource_started_callback"]], "set_resource_strategy() (rns.link method)": [[7, "RNS.Link.set_resource_strategy"]], "set_retained_ratchets() (rns.destination method)": [[7, "RNS.Destination.set_retained_ratchets"]], "set_timeout() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.set_timeout"]], "set_timeout_callback() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.set_timeout_callback"]], "should_use_implicit_proof() (rns.reticulum static method)": [[7, "RNS.Reticulum.should_use_implicit_proof"]], "sign() (rns.destination method)": [[7, "RNS.Destination.sign"]], "sign() (rns.identity method)": [[7, "RNS.Identity.sign"]], "teardown() (rns.link method)": [[7, "RNS.Link.teardown"]], "to_file() (rns.identity method)": [[7, "RNS.Identity.to_file"]], "track_phy_stats() (rns.link method)": [[7, "RNS.Link.track_phy_stats"]], "transport_enabled() (rns.reticulum static method)": [[7, "RNS.Reticulum.transport_enabled"]], "truncated_hash() (rns.identity static method)": [[7, "RNS.Identity.truncated_hash"]], "unpack() (rns.messagebase method)": [[7, "RNS.MessageBase.unpack"]], "validate() (rns.identity method)": [[7, "RNS.Identity.validate"]]}}) \ No newline at end of file +Search.setIndex({"docnames": ["examples", "forhumans", "gettingstartedfast", "hardware", "index", "interfaces", "networks", "reference", "support", "understanding", "using", "whatis"], "filenames": ["examples.rst", "forhumans.rst", "gettingstartedfast.rst", "hardware.rst", "index.rst", "interfaces.rst", "networks.rst", "reference.rst", "support.rst", "understanding.rst", "using.rst", "whatis.rst"], "titles": ["Code Examples", "An Explanation of Reticulum for Human Beings", "Getting Started Fast", "Communications Hardware", "Reticulum Network Stack Manual", "Configuring Interfaces", "Building Networks", "API Reference", "Support Reticulum", "Understanding Reticulum", "Using Reticulum on Your System", "What is Reticulum?"], "terms": {"A": [0, 2, 5, 6, 7, 9, 10, 11], "number": [0, 2, 5, 6, 7, 9, 10], "ar": [0, 2, 3, 5, 6, 7, 8, 9, 10, 11], "includ": [0, 3, 4, 5, 7, 9, 11], "sourc": [0, 2, 3, 6, 9, 11], "distribut": [0, 2, 6, 7, 9, 10], "reticulum": [0, 5, 6, 7], "you": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "can": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10], "us": [0, 3, 4, 5, 6, 7, 9], "learn": [0, 2, 8, 9], "how": [0, 2, 3, 5, 6, 9, 10, 11], "write": [0, 2, 5, 7, 9, 10], "your": [0, 2, 3, 4, 5, 6, 8, 9, 11], "own": [0, 2, 6, 7, 9, 10, 11], "program": [0, 3, 4, 5, 7, 9, 11], "The": [0, 2, 3, 4, 5, 6, 7, 11], "demonstr": [0, 5], "bare": [0, 5], "minimum": [0, 5, 6, 7, 9], "setup": [0, 2, 4, 5, 10], "requir": [0, 2, 5, 6, 7, 9, 10, 11], "connect": [0, 3, 4, 5, 6, 7, 9, 10, 11], "network": [0, 3, 5, 7, 9, 10, 11], "from": [0, 2, 3, 5, 6, 7, 9, 10, 11], "In": [0, 2, 3, 5, 6, 7, 9, 10, 11], "about": [0, 2, 3, 5, 6, 7, 9], "five": 0, "line": [0, 2, 4, 5, 6, 9, 10, 11], "have": [0, 2, 3, 5, 6, 7, 9, 10], "stack": [0, 2, 6, 7, 9, 10, 11], "initialis": [0, 7, 10], "readi": [0, 2, 3, 5, 6, 7, 11], "pass": [0, 3, 5, 6, 7, 9, 10], "traffic": [0, 2, 5, 6, 7, 9, 10], "thi": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "rn": [0, 2, 3, 7, 9, 10], "start": [0, 3, 4, 5, 7, 9, 10], "up": [0, 2, 3, 5, 6, 7, 9, 10, 11], "gener": [0, 2, 3, 5, 6, 7, 9, 10, 11], "new": [0, 4, 6, 7, 9, 10], "destin": [0, 2, 4, 6, 7, 10], "let": [0, 2, 5, 6, 9, 10], "user": [0, 2, 5, 6, 9, 10, 11], "send": [0, 5, 7, 9, 10], "an": [0, 2, 3, 5, 6, 7, 9, 10, 11], "import": [0, 2, 3, 5, 6, 7, 8, 9], "argpars": 0, "": [0, 2, 5, 6, 9, 10, 11], "defin": [0, 5, 7, 9, 10], "app": [0, 2, 7, 9], "name": [0, 4, 5, 6, 7], "we": [0, 2, 3, 5, 8, 9], "ll": [0, 2, 11], "all": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10, 11], "creat": [0, 4, 5, 6, 7, 9, 10, 11], "sinc": [0, 2, 5, 6, 7, 9], "basic": [0, 2, 4, 7, 10], "i": [0, 2, 3, 4, 5, 6, 7, 8, 9, 10], "part": [0, 5, 6, 7, 9, 10], "rang": [0, 2, 3, 5, 6, 9, 10, 11], "util": [0, 3, 4, 5, 9], "put": [0, 3, 5, 10], "them": [0, 2, 3, 5, 6, 8, 9, 10, 11], "within": [0, 2, 5, 6, 7, 9], "namespac": 0, "example_util": 0, "app_nam": [0, 7], "execut": [0, 2, 5, 7, 10], "when": [0, 2, 3, 5, 6, 7, 9, 10], "def": 0, "program_setup": 0, "configpath": 0, "must": [0, 2, 5, 6, 7, 9, 10], "first": [0, 2, 5, 7, 9, 10], "randomli": [0, 9], "ident": [0, 2, 4, 7, 10, 11], "our": [0, 9], "just": [0, 2, 3, 5, 6, 9, 11], "endpoint": [0, 6, 7, 9], "address": [0, 2, 5, 6, 7, 9, 10, 11], "commun": [0, 2, 4, 5, 6, 7, 8, 9, 10, 11], "also": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "exist": [0, 2, 3, 5, 9, 10, 11], "which": [0, 2, 5, 6, 7, 9, 10], "know": [0, 2, 3, 6, 7, 9, 11], "thei": [0, 2, 5, 6, 7, 9, 10], "reachabl": [0, 2, 5, 6, 7, 9, 10], "automat": [0, 2, 5, 6, 7, 9, 10, 11], "path": [0, 2, 3, 5, 7, 9, 10], "anywher": [0, 5], "els": [0, 2, 6, 9], "IN": [0, 7], "singl": [0, 5, 7, 9, 10, 11], "minimalsampl": 0, "configur": [0, 2, 3, 4, 6, 7, 9, 11], "prove": [0, 9], "packet": [0, 2, 4, 5, 6, 7, 10, 11], "By": [0, 2, 5, 7, 9, 10], "do": [0, 2, 3, 5, 7, 9, 10, 11], "proof": [0, 7, 9, 10], "each": [0, 2, 5, 6, 7, 9, 10], "incom": [0, 5, 7, 10], "transmit": [0, 5, 9], "back": [0, 5, 9, 11], "sender": [0, 2, 6, 9, 10], "anyon": [0, 2, 3, 5, 6, 7, 9, 10], "tri": 0, "whether": [0, 5, 7, 9], "wa": [0, 3, 5, 6, 7, 9, 10, 11], "receiv": [0, 2, 5, 7, 9, 10], "correctli": 0, "set_proof_strategi": [0, 7], "prove_al": [0, 7], "everyth": [0, 6, 9], "hand": 0, "over": [0, 3, 4, 5, 7, 9, 10, 11], "control": [0, 2, 3, 4, 6, 7, 9, 11], "loop": 0, "announceloop": 0, "log": [0, 5, 10], "prettyhexrep": 0, "hash": [0, 2, 7, 9, 10], "run": [0, 2, 3, 5, 6, 7, 9, 10, 11], "hit": 0, "enter": [0, 10], "manual": [0, 2, 5, 6, 7, 10], "ctrl": 0, "c": [0, 6, 10], "quit": 0, "until": [0, 2, 5, 7], "exit": [0, 2, 7, 10], "If": [0, 2, 3, 5, 6, 7, 9, 10, 11], "server": [0, 2, 3, 4, 7, 10], "client": [0, 2, 3, 4, 7, 10], "messag": [0, 2, 7, 9, 10], "direct": [0, 2, 3, 6, 7, 9, 10], "toward": [0, 5, 9], "while": [0, 2, 3, 5, 6, 7, 9, 11], "true": [0, 2, 5, 7, 10], "input": [0, 10], "sent": [0, 2, 7, 9, 10], "startup": [0, 10], "get": [0, 3, 4, 5, 7, 10, 11], "pars": 0, "desir": [0, 3, 5, 9], "mode": [0, 2, 3, 4, 9, 10, 11], "__name__": 0, "__main__": 0, "try": [0, 4, 5, 7], "parser": 0, "argumentpars": 0, "descript": [0, 10], "add_argu": 0, "config": [0, 2, 5, 6, 10], "action": 0, "store": [0, 7, 9, 10], "default": [0, 2, 3, 5, 6, 7, 9, 10], "none": [0, 2, 5, 7, 9, 10], "help": [0, 6, 8, 9, 10, 11], "altern": [0, 2, 5, 10], "directori": [0, 10], "type": [0, 2, 4, 5, 6, 7, 10], "str": 0, "arg": 0, "parse_arg": 0, "configarg": 0, "except": [0, 5, 7], "keyboardinterrupt": 0, "print": [0, 5, 10], "found": [0, 2, 5, 6, 9, 10], "http": [0, 2, 8], "github": [0, 2, 8], "com": [0, 2, 8], "markqvist": [0, 2, 8], "blob": [0, 9], "master": [0, 7], "py": [0, 2, 9, 10], "build": [0, 2, 3, 4, 5, 9, 11], "upon": [0, 9], "previou": 0, "explor": [0, 9, 11], "notif": [0, 7], "relev": [0, 3, 5, 7, 9, 10], "set": [0, 2, 3, 5, 6, 7, 9, 10, 11], "callback": [0, 7], "applic": [0, 2, 5, 6, 7, 9, 10, 11], "arriv": [0, 9], "random": [0, 7, 9], "two": [0, 2, 3, 5, 6, 7, 9], "list": [0, 2, 3, 5, 7, 9, 11], "string": [0, 7], "app_data": [0, 7], "fruit": 0, "peach": 0, "quinc": 0, "date": [0, 2], "tangerin": 0, "pomelo": 0, "carambola": 0, "grape": 0, "noble_gas": 0, "helium": 0, "neon": 0, "argon": 0, "krypton": 0, "xenon": 0, "radon": 0, "oganesson": 0, "announcesampl": 0, "space": [0, 2, 3, 6, 9, 11], "destination_1": 0, "destination_2": 0, "handler": [0, 7], "onli": [0, 2, 3, 5, 6, 7, 9, 10, 11], "ask": [0, 2, 3, 7], "chang": [0, 2, 5, 7, 9, 10, 11], "filter": [0, 6, 9, 10], "see": [0, 2, 5, 6, 7, 9, 10, 11], "what": [0, 2, 4, 5, 7, 9], "happen": [0, 2, 7, 9], "announce_handl": 0, "exampleannouncehandl": 0, "aspect_filt": [0, 7], "regist": [0, 7], "transport": [0, 2, 4, 5, 6, 7, 10, 11], "register_announce_handl": [0, 7], "select": [0, 5], "randint": 0, "0": [0, 2, 5, 7, 9, 10, 11], "len": 0, "1": [0, 2, 5, 7, 9, 10], "data": [0, 2, 3, 4, 5, 6, 7, 9, 11], "encod": [0, 7], "utf": 0, "8": [0, 5, 10], "nobl": 0, "ga": 0, "noble_ga": 0, "need": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "class": [0, 6, 7, 11], "method": [0, 2, 5, 7, 9], "take": [0, 2, 5, 9, 10, 11], "option": [0, 2, 3, 4, 6, 7, 9, 10], "argument": [0, 7, 10], "instanc": [0, 4, 5, 7, 9, 10], "some": [0, 2, 3, 5, 6, 7, 9, 10], "want": [0, 2, 5, 6, 9, 10, 11], "aspect": [0, 3, 5, 7, 9, 10], "__init__": [0, 7], "self": [0, 6, 11], "call": [0, 2, 6, 7, 9, 11], "system": [0, 2, 3, 4, 5, 6, 7, 8, 9, 11], "match": [0, 5, 9, 10], "specif": [0, 3, 4, 5, 7, 10, 11], "cannot": [0, 2, 5, 11], "wildcard": 0, "received_announc": [0, 7], "destination_hash": [0, 7, 10], "announced_ident": [0, 7], "contain": [0, 6, 7, 9, 10], "follow": [0, 2, 3, 5, 7, 8, 9, 10, 11], "decod": 0, "plaintext": [0, 7], "unencrypt": [0, 7, 9], "inform": [0, 2, 4, 5, 6, 7, 9, 10], "ani": [0, 2, 3, 5, 6, 7, 8, 9, 10, 11], "listen": [0, 5, 9, 10], "sy": 0, "did": 0, "one": [0, 2, 3, 5, 6, 7, 9, 10, 11], "public_inform": 0, "ad": [0, 3, 4, 5, 6, 7, 9, 11], "so": [0, 2, 3, 5, 6, 7, 8, 9, 10, 11], "differ": [0, 2, 3, 5, 6, 7, 9, 10, 11], "plain": [0, 3, 5, 7, 9], "uncencrypt": 0, "broadcast_destin": 0, "specifi": [0, 2, 3, 5, 6, 7, 10], "everi": [0, 5, 6, 7, 9], "time": [0, 2, 3, 5, 6, 7, 9, 10], "set_packet_callback": [0, 7], "packet_callback": 0, "main": 0, "broadcastloop": 0, "simpli": [0, 2, 3, 5, 6, 9, 10], "out": [0, 2, 3, 5, 6, 7, 9, 10, 11], "r": [0, 5, 9, 10], "n": [0, 9, 10], "end": [0, 5, 6, 7, 9, 11], "stdout": [0, 5, 10], "flush": 0, "text": [0, 2, 6, 9], "prompt": 0, "channelarg": 0, "between": [0, 5, 6, 7, 9, 10], "interfac": [0, 3, 4, 6, 7, 10], "simpl": [0, 3, 7, 9, 10, 11], "respond": [0, 7, 10], "receipt": [0, 7, 9], "choos": [0, 2, 5, 9], "global": [0, 2, 5, 6, 7, 9, 11], "server_ident": 0, "queri": [0, 2, 7, 10], "abl": [0, 2, 5, 6, 7, 9, 10], "verifi": [0, 7, 9, 10, 11], "repli": [0, 10], "encrypt": [0, 2, 6, 7, 9, 10, 11], "wai": [0, 2, 5, 6, 7, 9, 10], "certain": [0, 5, 6, 8, 9], "than": [0, 2, 5, 6, 7, 9, 10, 11], "read": [0, 2, 5, 7, 9, 10], "echo_destin": 0, "tell": [0, 5], "function": [0, 2, 3, 4, 5, 6, 7, 8, 10, 11], "server_callback": 0, "wait": [0, 5, 9, 10], "go": [0, 2, 5, 9], "handl": [0, 3, 5, 6, 7, 9, 10, 11], "reception_stat": 0, "is_connected_to_shared_inst": 0, "reception_rssi": 0, "get_packet_rssi": 0, "packet_hash": 0, "reception_snr": 0, "get_packet_snr": 0, "rssi": [0, 7, 10], "dbm": [0, 5, 10], "snr": [0, 7, 10], "db": [0, 10], "destination_hexhash": 0, "timeout": [0, 7, 10], "binari": [0, 2, 7, 9, 10], "represent": [0, 7], "command": [0, 2, 5, 10], "dest_len": 0, "truncated_hashlength": [0, 7], "2": [0, 5, 7, 9, 10], "rais": [0, 7], "valueerror": [0, 7], "length": [0, 5, 7, 9], "invalid": [0, 7], "hex": [0, 10], "hexadecim": [0, 9, 10], "charact": 0, "byte": [0, 7, 9, 10, 11], "format": [0, 4, 10, 11], "fromhex": 0, "e": [0, 6, 10], "check": [0, 2, 7, 9, 10], "overrid": 0, "loglevel": [0, 7, 10], "provid": [0, 2, 3, 4, 5, 6, 7, 9, 11], "feedback": [0, 4], "log_info": 0, "doe": [0, 2, 3, 4, 5, 6, 7, 9, 10], "load": [0, 2, 7, 10], "has_path": [0, 7], "To": [0, 2, 3, 5, 6, 9, 10, 11], "public": [0, 4, 5, 7, 10], "kei": [0, 4, 6, 7, 10, 11], "done": [0, 2, 5, 6, 9, 10], "recal": [0, 7, 10], "modul": [0, 2, 3, 9, 10, 11], "known": [0, 5, 7, 9, 10], "return": [0, 7, 10], "outgo": [0, 5, 7, 9, 10], "got": 0, "correct": [0, 9], "convent": 0, "request_destin": 0, "add": [0, 2, 5, 6, 7, 10], "echo_request": 0, "get_random_hash": [0, 7], "successfulli": [0, 7, 9], "packetreceipt": [0, 4, 7], "packet_receipt": [0, 7], "set_timeout": [0, 7], "set_timeout_callback": [0, 7], "packet_timed_out": 0, "deliveri": [0, 2, 7, 11], "set_delivery_callback": [0, 7], "packet_deliv": 0, "yet": [0, 5, 9, 11], "retri": [0, 7, 9], "onc": [0, 2, 3, 5, 6, 7, 9, 10, 11], "request_path": [0, 7], "statu": [0, 2, 4, 5, 7, 9, 10], "deliv": [0, 7], "rtt": [0, 7, 9], "get_rtt": [0, 7], "round": [0, 7, 10], "3": [0, 5, 9, 10, 11], "rttstring": 0, "second": [0, 5, 7, 9, 10, 11], "1000": 0, "millisecond": [0, 10], "proof_packet": 0, "valid": [0, 5, 7, 9, 10], "trip": [0, 7, 10], "fail": [0, 2, 7], "store_tru": 0, "t": [0, 2, 5, 6, 7, 10], "metavar": 0, "float": [0, 7], "narg": 0, "timeoutarg": 0, "print_help": 0, "establish": [0, 2, 5, 6, 7, 11], "remot": [0, 4, 7], "forth": 0, "o": [0, 2, 9, 10, 11], "refer": [0, 2, 4], "latest": [0, 2, 5, 7, 10], "latest_client_link": 0, "server_destin": 0, "linkexampl": 0, "set_link_established_callback": [0, 7], "client_connect": 0, "server_loop": 0, "set_link_closed_callback": [0, 7], "client_disconnect": 0, "server_packet_receiv": 0, "disconnect": [0, 5], "activ": [0, 2, 5, 7, 9], "last": [0, 5, 7], "reply_text": 0, "reply_data": 0, "server_link": 0, "sleep": [0, 10], "begin": [0, 3, 7], "And": 0, "client_packet_receiv": 0, "close": [0, 6, 7, 10], "link_establish": 0, "link_clos": 0, "interact": [0, 2, 7, 9, 10], "client_loop": 0, "becom": [0, 2, 6, 9], "should_quit": 0, "fals": [0, 5, 7, 10], "should": [0, 2, 5, 6, 7, 9, 10, 11], "q": [0, 10], "teardown": [0, 7], "mdu": [0, 7], "size": [0, 2, 5, 7, 9, 10], "exce": [0, 5, 7], "log_error": 0, "error": [0, 2, 8, 10], "ha": [0, 2, 3, 5, 6, 7, 9, 11], "been": [0, 2, 3, 5, 6, 7, 9, 11], "later": [0, 2, 5, 10], "teardown_reason": 0, "now": [0, 2, 6, 9, 10], "elif": 0, "destination_clos": 0, "exit_handl": 0, "5": [0, 2, 5, 7, 9, 10, 11], "_exit": 0, "identifi": [0, 5, 7, 9, 10], "intiat": 0, "initi": [0, 6, 7, 9, 11], "peer": [0, 2, 5, 7, 9, 10], "identifyexampl": 0, "set_remote_identified_callback": [0, 7], "remote_identifi": 0, "origin": [0, 6, 9], "displai": [0, 9, 10], "remote_p": 0, "unidentifi": 0, "get_remote_ident": [0, 7], "client_ident": 0, "sendig": 0, "perform": [0, 2, 5, 6, 7, 9, 10], "random_text_gener": 0, "request_id": [0, 7], "link_id": [0, 7], "remote_ident": [0, 7], "requested_at": [0, 7], "look": [0, 2, 5, 9, 10], "On": [0, 2, 5, 10], "full": [0, 5, 7, 9, 10, 11], "moon": 0, "becki": 0, "upset": 0, "stai": [0, 9, 10], "awai": [0, 5, 6, 9, 10], "pet": 0, "shop": 0, "stock": 0, "requestexampl": 0, "register_request_handl": [0, 7], "response_gener": [0, 7], "allow": [0, 2, 3, 5, 6, 7, 9, 10, 11], "allow_al": [0, 7], "response_callback": [0, 7], "got_respons": 0, "failed_callback": [0, 7], "request_fail": 0, "request_receipt": [0, 7], "request_receiv": 0, "structur": [0, 7, 9, 11], "datetim": 0, "vendor": [0, 3, 11], "umsgpack": 0, "share": [0, 2, 6, 7, 9, 10], "object": [0, 7], "subclass": [0, 7], "messagebas": [0, 4, 7], "ensur": [0, 5, 7, 9, 10, 11], "serial": [0, 2, 4, 9, 11], "deseri": 0, "multiplex": 0, "other": [0, 2, 3, 5, 6, 7, 9, 10], "both": [0, 2, 3, 5, 6, 7, 9, 10, 11], "same": [0, 2, 3, 5, 6, 7, 9, 10], "definit": [0, 9], "note": [0, 3, 4, 5, 7, 9, 10], "wish": [0, 9, 10], "make": [0, 2, 3, 5, 8, 9, 10], "stringmessag": 0, "convei": 0, "timestamp": [0, 9, 11], "msgtype": [0, 7], "variabl": [0, 7], "assign": [0, 9, 10], "integ": 0, "valu": [0, 5, 7, 9], "constructor": 0, "uniqu": [0, 5, 7, 9, 11], "across": [0, 3, 6, 7], "0xf000": [0, 7], "reserv": [0, 7], "0x0101": 0, "callabl": [0, 7], "paramet": [0, 3, 5, 6, 7, 9, 10], "empti": 0, "version": [0, 2, 9, 10, 11], "unpack": [0, 7], "final": [0, 7, 9], "implement": [0, 5, 6, 7, 8, 9, 11], "pack": [0, 7], "raw": [0, 3, 7], "payload": [0, 7, 9, 10], "packag": [0, 2, 5, 9], "bundl": 0, "could": [0, 2, 5, 6, 7, 9, 11], "struct": 0, "python": [0, 4, 7, 9, 10, 11], "more": [0, 2, 3, 5, 6, 7, 9, 10, 11], "fit": 0, "entir": [0, 6, 7, 9, 10], "avail": [0, 2, 3, 4, 5, 6, 7, 9, 10, 11], "properti": [0, 6, 7], "slightli": [0, 2], "less": [0, 5, 7, 9, 11], "due": [0, 6], "header": [0, 7, 9], "content": [0, 2, 6, 10], "stream": [0, 7, 9], "packb": 0, "unpackb": 0, "channelexampl": 0, "get_channel": [0, 7], "register_message_typ": [0, 7], "add_message_handl": [0, 7], "server_message_receiv": 0, "param": 0, "deserializ": 0, "unless": [0, 2, 5, 7, 9], "preced": 0, "indic": [0, 7], "isinst": 0, "reply_messag": 0, "order": [0, 7, 10], "were": [0, 6], "consid": [0, 5, 7, 9, 11], "subsequ": [0, 9], "skip": 0, "packed_s": 0, "is_ready_to_send": [0, 7], "pleas": [0, 2, 5, 7, 10, 11], "pend": 0, "complet": [0, 2, 3, 5, 6, 7, 9, 10, 11], "client_message_receiv": 0, "reader": [0, 7], "writer": [0, 7], "__future__": 0, "annot": 0, "latest_buff": 0, "bufferexampl": 0, "old": [0, 3, 8, 9], "stream_id": [0, 7], "bit": [0, 2, 5, 7, 9, 10, 11], "like": [0, 2, 3, 5, 6, 7, 9, 10, 11], "file": [0, 2, 3, 5, 6, 7, 9, 10, 11], "descriptor": 0, "actual": [0, 2, 5, 9], "separ": [0, 2, 5, 6, 9, 10], "unidirect": 0, "flow": [0, 5, 6], "opposit": [0, 5], "create_bidirectional_buff": [0, 7], "server_buffer_readi": 0, "ready_byt": [0, 7], "int": [0, 7], "otherwis": [0, 7], "forc": [0, 10], "server_client_connect": 0, "detail": [0, 2, 4, 5, 7, 10, 11], "client_buffer_readi": 0, "termin": [0, 2, 7], "download": [0, 5, 10], "resourc": [0, 2, 4, 7, 8], "effici": [0, 2, 5, 9, 11], "serv": [0, 3, 5, 6, 9, 10], "larg": [0, 3, 5, 6, 7, 9, 11], "transfer": [0, 7, 9, 10, 11], "recommend": [0, 2, 9], "compress": [0, 7, 9], "hashmap": 0, "sequenc": [0, 7, 9, 11], "long": [0, 2, 3, 5, 7, 9], "slow": [0, 5, 9], "cpu": [0, 9], "probabl": [0, 2, 6, 9, 10, 11], "result": [0, 9, 10], "befor": [0, 2, 5, 7, 9, 10], "prepar": [0, 6], "instead": [0, 2, 5, 7, 9, 10], "slice": 0, "chunk": 0, "suitabl": [0, 2, 5, 6, 9, 11], "thread": 0, "app_timeout": 0, "45": [0, 9], "serve_path": 0, "here": [0, 2, 5, 9, 10], "conveni": 0, "list_fil": 0, "entri": [0, 2, 9], "listdir": 0, "isfil": 0, "join": [0, 2, 6, 8, 9], "host": [0, 2, 5, 9, 10], "still": [0, 2, 5, 6, 9, 10], "isdir": 0, "list_packet": 0, "list_receipt": 0, "list_deliv": 0, "list_timeout": 0, "too": [0, 6], "mani": [0, 2, 3, 5, 6, 7, 9, 10, 11], "split": 0, "filelist": 0, "multipl": [0, 5, 6, 9, 10], "hint": 0, "alreadi": [0, 2, 3, 6, 7, 9, 10], "support": [0, 2, 4, 5, 6, 7, 9, 10, 11], "after": [0, 2, 5, 6, 7, 9, 10], "re": [0, 5, 7, 9], "keep": [0, 6, 7, 9, 10, 11], "open": [0, 3, 5, 6, 7, 8, 9, 10, 11], "client_request": 0, "longer": [0, 2, 6, 9, 10], "filenam": 0, "rb": 0, "file_resourc": 0, "resource_sending_conclud": 0, "somethign": 0, "went": 0, "wrong": [0, 5], "don": [0, 2, 6, 7, 10], "unknown": [0, 5, 7, 9, 10], "conclud": [0, 7], "hasattr": 0, "server_fil": 0, "current": [0, 2, 4, 5, 7, 9], "current_download": 0, "current_filenam": 0, "statist": [0, 5, 7, 8], "download_start": 0, "download_finish": 0, "download_tim": 0, "transfer_s": 0, "file_s": 0, "expect": [0, 2, 5, 7, 9, 10], "normal": [0, 2, 5, 7, 10], "accordingli": 0, "filelist_receiv": 0, "advertis": [0, 7], "set_resource_strategi": [0, 7], "accept_al": [0, 7], "set_resource_started_callback": [0, 7], "download_began": 0, "set_resource_concluded_callback": [0, 7], "download_conclud": 0, "menu": 0, "menu_mod": 0, "down": [0, 3, 5, 7], "request_packet": 0, "create_receipt": [0, 7], "print_menu": 0, "25": [0, 4, 11], "user_input": 0, "screen": 0, "variou": [0, 2, 5, 6, 9, 10, 11], "state": 0, "It": [0, 2, 3, 5, 7, 9, 10, 11], "uninterest": 0, "won": 0, "clear_screen": 0, "print_filelist": 0, "percent": [0, 5], "get_progress": [0, 7], "100": [0, 5, 9], "rprogress": 0, "save_error": 0, "disk": [0, 7], "hour": [0, 5], "rem": 0, "divmod": 0, "3600": [0, 5], "minut": [0, 2, 5, 9], "60": [0, 5], "timestr": 0, "05": 0, "2f": 0, "ttime": 0, "taken": [0, 9], "tfile": 0, "size_str": 0, "tdata": 0, "teffect": 0, "rate": [0, 4, 7, 10], "suffix": 0, "b": [0, 6, 10], "ttransfer": 0, "press": 0, "index": [0, 2, 4], "enumer": 0, "filelist_data": 0, "extend": [0, 2, 7], "local": [0, 2, 5, 6, 7, 9, 10, 11], "append": [0, 9], "visibl": 0, "updat": [0, 2, 7, 9, 10], "small": [0, 5, 6, 7, 9, 11], "job": 0, "potenti": [0, 5, 8, 9, 10, 11], "target": [0, 5, 10], "filelist_timeout_job": 0, "daemon": [0, 2, 5, 7, 10], "detect": 0, "shown": 0, "progress": [0, 7, 10, 11], "total_s": 0, "saved_filenam": 0, "counter": 0, "wb": 0, "human": [0, 7, 8, 9], "readabl": [0, 7, 9], "num": 0, "unit": [0, 2, 7, 10], "ki": 0, "mi": 0, "gi": 0, "ti": [0, 9], "pi": [0, 4, 6, 9, 10, 11], "ei": 0, "zi": 0, "last_unit": 0, "yi": 0, "k": [0, 10], "m": [0, 2, 9, 10], "g": [0, 10], "p": [0, 10], "z": 0, "y": 0, "ab": 0, "1024": 0, "clear": [0, 5, 7, 9, 10], "cl": 0, "nt": 0, "dir": 0, "best": [2, 9, 11], "guid": [2, 3, 9], "outlin": [2, 3, 9], "sensibl": [2, 3, 5], "scenario": [2, 4, 9], "relat": 2, "easiest": [2, 3, 5, 9], "via": [2, 3, 5, 8, 9, 10, 11], "pip": [2, 3, 10], "manag": [2, 4, 6, 7, 9, 11], "sudo": [2, 10], "apt": 2, "python3": [2, 3], "pamac": 2, "similar": [2, 3, 5, 6, 7, 10, 11], "dowload": 2, "releas": [2, 5, 9], "wheel": 2, "channel": [2, 4, 5, 6, 7, 8, 9, 11], "offlin": 2, "py3": 2, "whl": 2, "mai": [2, 5, 7, 9, 10], "case": [2, 3, 5, 6, 9, 10], "usual": 2, "essenti": [2, 5, 9], "deriv": [2, 7, 9, 11], "arch": 2, "manjaro": 2, "devel": 2, "fedora": 2, "dnf": 2, "groupinstal": 2, "tool": [2, 3, 9, 10, 11], "librari": 2, "compil": 2, "miss": 2, "even": [2, 5, 6, 7, 9, 10, 11], "pre": [2, 7, 9], "built": [2, 5, 9, 10, 11], "few": [2, 3, 5, 6, 9, 10, 11], "extrem": [2, 9, 10, 11], "low": [2, 3, 5, 6, 9, 11], "bandwidth": [2, 5, 6, 7, 9, 10, 11], "These": [2, 5, 7, 9, 10], "feel": 2, "work": [2, 3, 5, 6, 9, 10, 11], "design": [2, 3, 6, 9, 11], "well": [2, 3, 5, 6, 7, 9, 10, 11], "lora": [2, 3, 4, 9, 11], "link": [2, 3, 4, 5, 6, 7, 10, 11], "wifi": [2, 4, 5, 6, 9, 10, 11], "wire": [2, 3, 4, 5, 11], "ethernet": [2, 4, 5, 6, 9, 11], "combin": [2, 4, 6, 9], "As": [2, 3, 5, 6, 7, 9, 11], "easi": [2, 3, 5, 9, 10, 11], "experi": [2, 9], "without": [2, 3, 5, 6, 9, 10, 11], "transceiv": [2, 3, 5, 9, 11], "infrastructur": [2, 3, 5, 6, 9, 10], "launch": [2, 10], "devic": [2, 4, 5, 6, 7, 9, 10], "enough": [2, 3, 9], "physic": [2, 3, 5, 7, 9, 10], "rnsh": [2, 10], "fulli": [2, 3, 9, 10, 11], "session": [2, 9], "pipe": [2, 4, 11], "ssh": 2, "veri": [2, 3, 5, 6, 7, 8, 9, 10, 11], "facilit": 2, "suit": [2, 3, 9, 10], "featur": [2, 8, 9, 11], "delai": [2, 5, 9, 10], "browser": 2, "page": [2, 4, 9], "dynam": [2, 10], "render": 2, "authent": [2, 5, 6, 7, 9, 10, 11], "face": [2, 5], "protocol": [2, 3, 4, 5, 11], "lxmf": [2, 10], "anoth": [2, 7, 9, 10], "project": 2, "nomadnet": 2, "might": [2, 5, 6, 9, 10], "reboot": 2, "again": [2, 5, 9, 10], "would": [2, 5, 6, 7, 9], "rather": [2, 7], "graphic": 2, "linux": [2, 3, 9], "maco": 2, "window": [2, 5], "peopl": [2, 9], "compat": [2, 3, 5, 7, 9], "i2p": [2, 4, 11], "qr": 2, "paper": 2, "anyth": [2, 3, 5, 9, 10, 11], "interoper": [2, 3, 6, 9, 11], "friendli": [2, 11], "voic": 2, "interest": [2, 9], "cours": [2, 5, 9], "come": [2, 9], "easier": [2, 5, 6, 10], "rnsd": [2, 4], "background": [2, 5], "foreground": 2, "servic": [2, 4, 6], "rnstatu": [2, 4, 5, 9], "rnpath": [2, 4, 5], "rnprobe": [2, 4], "view": [2, 5, 9, 10], "chapter": [2, 3, 5, 6, 7, 9, 10, 11], "locat": [2, 6, 9, 10], "exampl": [2, 3, 4, 5, 7, 9, 10, 11], "exampleconfig": [2, 10], "broadcast": [2, 4, 5, 7, 9], "domain": [2, 5], "further": [2, 4, 5], "tcp": [2, 3, 4, 6, 9, 11], "There": [2, 6, 9, 11], "subnet": [2, 6], "rout": [2, 3, 5, 6, 7, 9, 10, 11], "tabl": [2, 5, 6, 9, 10], "thing": 2, "discov": [2, 5], "topographi": [2, 6, 9], "situat": [2, 3, 5, 6, 9], "where": [2, 3, 4, 5, 6, 7, 9, 10], "utilis": [2, 5, 6, 7, 10, 11], "extern": [2, 5, 7, 11], "often": [2, 5, 6, 9, 10], "suffici": [2, 5, 9, 10], "act": [2, 7, 9, 10], "gatewai": [2, 5, 6, 9], "enabl": [2, 3, 5, 6, 7, 9, 10, 11], "wider": [2, 5, 9, 10], "autointerfac": [2, 3, 5, 10], "possibli": 2, "offer": [2, 4, 5, 7, 9], "carefulli": 2, "tcpserverinterfac": [2, 5], "access": [2, 4, 5, 6, 7, 10], "ip": [2, 3, 5, 9, 10, 11], "faster": [2, 5, 9], "lower": [2, 5, 10], "latenc": [2, 9, 11], "energi": 2, "i2pinterfac": [2, 5], "howev": [2, 6, 9], "leak": 2, "reveal": [2, 7, 9, 11], "who": [2, 5, 9], "inspect": [2, 5, 6, 9, 10], "someon": [2, 5], "determin": [2, 7, 9], "adversari": 2, "record": [2, 9], "metadata": [2, 9, 11], "transmiss": [2, 5, 7, 9], "though": [2, 5, 9], "publicli": [2, 5], "most": [2, 3, 5, 6, 7, 9, 10], "anymor": 2, "through": [2, 3, 5, 6, 7, 9, 10, 11], "invis": [2, 5], "parallel": 2, "For": [2, 5, 6, 7, 9, 10, 11], "alwai": [2, 5, 7, 9, 10], "node": [2, 4, 5, 6, 10, 11], "i2pd": [2, 5], "mix": [2, 5, 6, 10], "hide": 2, "relai": 2, "extra": [2, 5, 6, 10], "comput": [2, 9, 11], "power": [2, 3, 5, 9, 10], "attack": 2, "form": [2, 5, 6, 7, 9], "deep": 2, "much": [2, 5, 6, 9, 10], "difficult": [2, 3], "non": [2, 7, 9], "behind": [2, 9], "firewal": [2, 5], "nat": 2, "preserv": [2, 7], "anonym": [2, 6, 7, 9, 11], "care": [2, 7, 11], "experiment": [2, 11], "made": [2, 7, 9], "amsterdam": 2, "hub": [2, 5], "tcpclientinterfac": [2, 3, 5], "ye": [2, 5, 9, 10], "target_host": [2, 5], "target_port": [2, 5], "4965": [2, 10], "betweenthebord": 2, "4242": [2, 5], "g3br23bvx3lq5uddcsjii74xgmn6y5q325ovrkq2zw2wbzbqgbuq": 2, "b32": [2, 5], "point": [2, 3, 5, 6, 9, 10], "absolut": [2, 8, 11], "usag": [2, 4, 5, 7, 8, 10], "occasion": 2, "test": [2, 9, 10], "failur": [2, 5, 7], "guarante": [2, 9], "weird": 2, "goe": 2, "sai": 2, "hardcod": 2, "ship": 2, "practic": [2, 9, 11], "solut": [2, 9, 10], "leav": [2, 6, 9], "hardwar": [2, 4, 5, 6, 7, 9, 10, 11], "wide": [2, 3, 5, 9, 10, 11], "section": [2, 3, 5, 6, 9, 10], "easili": [2, 5, 6, 9, 10, 11], "cheapli": 2, "rnode": [2, 4, 9, 10, 11], "purpos": [2, 3, 5, 7, 9, 10], "digit": [2, 3, 9, 11], "integr": [2, 6, 9], "yourself": [2, 9, 11], "custom": [2, 5, 7, 9, 11], "firmwar": [2, 3, 9, 10], "board": [2, 4, 5, 9, 11], "auto": [2, 3, 4, 7, 9], "script": [2, 3], "prefer": [2, 9], "purchas": [2, 6, 9, 11], "supplier": 2, "addit": [2, 3, 5, 7, 9, 10, 11], "privat": [2, 5, 7, 8, 9, 10, 11], "secur": [2, 9, 11], "uncensor": 2, "mesh": [2, 5, 6, 11], "think": 2, "welcom": 2, "head": 2, "discuss": [2, 3, 9], "propos": 2, "abov": [2, 3, 5, 9, 10], "next": [2, 6, 7, 9], "step": [2, 3], "pyseri": 2, "api": [2, 4, 9, 11], "associ": [2, 7, 9], "recip": 2, "cryptographi": [2, 9, 11], "clone": 2, "repositori": [2, 8, 9], "git": 2, "move": [2, 5, 9, 10], "folder": 2, "symlink": [2, 10], "cd": 2, "ln": [2, 10], "echo": [2, 4], "ve": 2, "immedi": [2, 5, 10], "necessari": [2, 3, 5, 6, 7, 9], "nano": 2, "repeat": 2, "process": [2, 3, 5, 7, 9], "h": [2, 10], "ping": [2, 10], "replac": [2, 7, 9, 10], "below": [2, 3, 5, 10], "174a64852a75682259ad8b921b8bf416": 2, "filetransf": [2, 4, 7], "understand": [2, 4, 7, 10], "submit": 2, "pull": 2, "request": [2, 4, 5, 7, 9, 10], "good": [2, 3, 6, 9, 10], "idea": [2, 9, 10], "introduc": [2, 9], "disucss": 2, "forum": 2, "maintain": [2, 9], "place": [2, 5, 6, 9, 10], "procedur": [2, 7, 9], "quirk": 2, "worth": 2, "being": [2, 5, 7, 9], "awar": [2, 9], "termux": 2, "f": [2, 10], "droid": 2, "emul": 2, "environ": [2, 6, 9], "abil": [2, 3], "pkg": 2, "sure": [2, 3, 10], "upgrad": [2, 9], "Then": 2, "reason": [2, 5, 9], "attempt": [2, 3, 7], "openssl": [2, 9], "libffi": 2, "rust": 2, "export": 2, "cargo_build_target": 2, "aarch64": 2, "sever": [2, 6, 7, 10], "succe": 2, "softwar": [2, 3, 5, 9, 11], "possibl": [2, 3, 5, 6, 7, 9, 10, 11], "apk": 2, "tutori": 2, "code": [2, 3, 4, 5, 10], "architectur": 2, "precompil": 2, "dev": [2, 5, 10], "64": [2, 9, 10], "32": [2, 7, 9], "rasperri": 2, "os": [2, 5], "april": 2, "2023": [2, 10], "onto": [2, 9], "unfortun": 2, "pipx": 2, "isol": [2, 5, 10], "neg": 2, "affect": [2, 5], "ensurepath": 2, "restor": [2, 5], "behaviour": [2, 5, 10], "edit": [2, 10], "conf": 2, "break": [2, 7, 9, 11], "somewhat": 2, "mislead": 2, "choic": 2, "word": 2, "rare": [2, 5], "lead": [2, 9], "conflict": 2, "pose": [2, 9], "problem": [2, 9], "_could_": 2, "obscur": 2, "rnspure": 2, "No": [2, 5, 9, 10, 11], "matter": [2, 5], "perfectli": 2, "pyca": [2, 9], "cryptograph": [2, 4, 6, 10, 11], "primit": [2, 4], "One": [3, 7], "truli": [3, 9], "valuabl": [3, 8], "almost": [3, 5, 9, 10], "conceiv": 3, "kind": [3, 5, 6, 9], "medium": [3, 5, 6, 7, 9, 11], "flexibl": [3, 5, 11], "cover": [3, 9, 11], "wireless": [3, 6], "decad": 3, "modern": [3, 9], "millimet": 3, "wave": 3, "backhaul": [3, 6], "oper": [3, 5, 6, 7, 9, 10, 11], "chanc": 3, "box": 3, "glue": 3, "littl": [3, 9], "effort": 3, "pipeinterfac": [3, 5], "kiss": [3, 4, 10, 11], "simplyequip": 3, "broad": 3, "abund": 3, "sometim": 3, "especi": [3, 5], "scratch": 3, "real": [3, 6, 11], "world": [3, 6, 11], "minim": [3, 4, 9], "cost": [3, 9, 11], "fundament": [3, 9], "categori": 3, "addition": [3, 5, 9], "common": [3, 4, 6, 9, 10, 11], "briefli": [3, 9], "describ": [3, 5, 7, 9], "emploi": [3, 9], "pattern": [3, 9], "high": [3, 5, 9, 11], "capac": [3, 5, 7, 9], "gain": [3, 7, 9], "antenna": 3, "backbon": 3, "area": [3, 5, 6, 9, 11], "reliabl": [3, 5, 6, 7, 9, 11], "commonli": 3, "either": [3, 5, 6, 7, 9, 10], "expens": 3, "hard": 3, "hungri": 3, "allevi": 3, "particular": 3, "plaform": 3, "depend": [3, 4, 6, 7, 9, 10], "its": [3, 5, 7, 9], "compon": [3, 8, 9], "frequenc": [3, 5, 9, 10], "band": [3, 9], "scheme": 3, "limit": [3, 4, 6, 9], "ism": [3, 9], "avoid": [3, 9, 10], "confus": [3, 9], "layer": [3, 5, 6, 7, 9, 11], "noth": [3, 11], "lorawan": [3, 9], "standard": [3, 9], "central": [3, 6, 9], "iot": 3, "overhead": [3, 5, 9], "level": [3, 5, 9, 10], "directli": [3, 5, 7, 9, 10, 11], "replic": [3, 9], "togeth": [3, 9, 10], "exact": [3, 5, 9], "explain": [3, 7], "approach": [3, 9, 10], "develop": [3, 4, 7, 8, 9, 11], "boil": 3, "obtain": [3, 7, 9], "autom": [3, 8, 9], "provis": [3, 10], "rnodeinterfac": [3, 5, 10], "ic": 3, "semtech": 3, "sx1262": 3, "sx1268": 3, "platform": [3, 4, 9, 10], "esp32": 3, "manufactur": [3, 5], "sx1276": 3, "sx1278": 3, "nrf52": 3, "rak": 3, "io": 3, "avr": 3, "atmega1284p": 3, "rnodeconf": [3, 4], "autoinstal": [3, 10], "seri": 3, "question": [3, 5], "configuraion": 3, "port": [3, 4, 5, 6, 9, 11], "air": [3, 11], "short": [3, 5, 9, 10], "bridg": [3, 4], "behav": 3, "mean": [3, 5, 6, 7, 9, 10], "dhcp": [3, 5, 10], "dn": 3, "least": [3, 5, 9, 10, 11], "transpar": [3, 5, 9, 10], "distanc": [3, 9], "ubiqu": 3, "airmax": 3, "ltu": 3, "mikrotik": 3, "exhaust": [3, 9], "rel": [3, 9, 11], "cheap": [3, 9], "co": [3, 5, 11], "concurr": [3, 9, 10], "switch": [3, 5, 9, 10], "fiber": 3, "optic": [3, 11], "virtual": [3, 5, 6, 9, 10, 11], "adapt": [3, 6], "tun": 3, "tap": 3, "serialinterfac": [3, 5], "usb": [3, 6, 9, 10], "freedv": 3, "tnc": [3, 5, 7, 10, 11], "dire": 3, "wolf": 3, "aim": [4, 9], "particip": [4, 6, 9], "itself": [4, 9], "pdf": 4, "epub": 4, "caveat": 4, "emptor": 4, "fast": [4, 5, 7, 9], "standalon": 4, "instal": [4, 5, 6, 9, 10, 11], "resolv": [4, 5, 10], "issu": [4, 6, 8], "base": [4, 5, 6, 7, 9, 10, 11], "shell": [4, 10], "nomad": 4, "sideband": 4, "meshchat": 4, "With": [4, 5, 6, 9, 10], "internet": [4, 5, 9, 11], "testnet": [4, 10], "radio": [4, 5, 6, 7, 9, 10, 11], "android": [4, 10], "arm64": 4, "raspberri": [4, 6, 9, 10, 11], "debian": [4, 9, 10], "bookworm": 4, "ubuntu": [4, 10], "lunar": 4, "pure": [4, 9], "rnid": 4, "rncp": 4, "rnx": 4, "improv": [4, 5, 8], "fix": [4, 9], "motiv": 4, "goal": [4, 5], "introduct": 4, "announc": [4, 7, 10], "mechan": [4, 5], "reach": [4, 5, 6], "prioritis": [4, 5, 6, 7], "propag": [4, 5, 7], "rule": [4, 5], "modem": [4, 5, 6, 7, 9, 11], "udp": [4, 6, 9, 10, 11], "multi": [4, 9, 10, 11], "ax": [4, 11], "concept": [4, 9, 10], "overview": [4, 5, 9], "interconnect": [4, 5, 9], "site": [4, 5], "growth": 4, "converg": [4, 9], "identif": [4, 5, 11], "respons": [4, 7, 9], "buffer": [4, 5, 7], "donat": 4, "contribut": 4, "requestreceipt": [4, 7], "rawchannelread": [4, 7], "rawchannelwrit": [4, 7], "search": 4, "distinct": [5, 9], "topologi": [5, 9], "endless": 5, "give": [5, 9, 10], "respect": [5, 11], "discover": 5, "autoconfigur": [5, 11], "ipv6": [5, 10], "router": [5, 10], "sort": [5, 9, 10], "communica": 5, "tion": 5, "usabl": [5, 9], "interface_en": [5, 10], "spe": 5, "cific": 5, "lan": 5, "group": [5, 7, 9], "id": [5, 7, 9, 10], "group_id": 5, "multicast": 5, "temporari": 5, "perman": [5, 10], "multicast_address_typ": 5, "kernel": [5, 10, 11], "wlan0": 5, "eth1": 5, "Or": [5, 10, 11], "ignor": [5, 7, 9], "ones": [5, 10], "ignored_devic": 5, "tun0": 5, "eth0": 5, "autodiscov": 5, "discoveri": [5, 9, 10], "scope": 5, "admin": 5, "organis": [5, 6, 8], "custom_network_nam": 5, "discovery_scop": 5, "discovery_port": 5, "48555": 5, "data_port": 5, "49555": 5, "frequent": 5, "block": 5, "inbound": [5, 7], "portabl": [5, 9], "persist": [5, 10], "achiev": [5, 7, 9], "geti2p": 5, "net": 5, "websit": 5, "base32": 5, "comma": 5, "5urvjicpzi7q3ybztsef4i5ow2aq4soktfj7zedz53s47r54jnqq": 5, "output": [5, 10], "event": 5, "simplest": [5, 9], "tunnel": [5, 9, 11], "interchang": 5, "degre": 5, "retain": [5, 7], "eas": [5, 9], "simpler": 5, "listen_ip": 5, "listen_port": 5, "bind": 5, "10": [5, 9, 10], "88": 5, "i2p_tunnel": 5, "127": 5, "5001": 5, "dedic": [5, 9], "natur": 5, "toler": 5, "intermitt": 5, "gracefulli": 5, "reappear": 5, "hostnam": 5, "expos": [5, 7], "soundmodem": 5, "kiss_fram": 5, "8001": 5, "caution": 5, "frame": 5, "conjunct": 5, "never": [5, 7, 9], "disabl": [5, 9, 10], "intern": [5, 7, 9], "recoveri": 5, "greatli": 5, "unreli": 5, "implic": 5, "better": 5, "udpinterfac": 5, "forward_ip": 5, "255": [5, 10], "forward_port": 5, "assum": [5, 9], "55": 5, "72": 5, "24": 5, "equival": 5, "forward": [5, 7, 9, 11], "segment": [5, 6, 7, 9, 11], "15": 5, "16": [5, 9, 10], "ttyusb0": [5, 10], "ble": 5, "pair": [5, 7, 9, 10], "mac": [5, 9], "3b87": 5, "f4": 5, "12": [5, 10], "73": [5, 10], "29": 5, "4e": 5, "89": 5, "867": 5, "mhz": [5, 9], "867200000": 5, "125": 5, "khz": 5, "125000": 5, "tx": [5, 10], "7": [5, 9, 10], "mw": 5, "txpower": 5, "spread": [5, 10], "factor": [5, 7, 10], "fastest": [5, 9], "longest": 5, "spreadingfactor": 5, "throough": 5, "codingr": 5, "interv": [5, 7, 10], "id_callsign": 5, "mycal": 5, "id_interv": 5, "600": 5, "homebrew": 5, "amount": [5, 6, 7, 9, 11], "ram": 5, "flow_control": 5, "airtim": 5, "term": [5, 6, 9], "appli": [5, 7, 9], "approxim": 5, "enforc": [5, 7], "roll": 5, "airtime_limit_long": 5, "airtime_limit_short": 5, "33": 5, "sub": 5, "individu": [5, 7, 9, 11], "rnodemultiinterfac": 5, "ttyacm0": 5, "subinterfac": 5, "highdatar": 5, "themselv": 5, "4ghz": 5, "2400000000": 5, "1625": 5, "1625000": 5, "person": [5, 9], "wrote": 5, "vport": 5, "lowdatar": 5, "865": 5, "6": [5, 7, 10], "865600000": 5, "laser": 5, "baud": [5, 10], "speed": [5, 9, 10], "115200": [5, 10], "databit": [5, 10], "pariti": [5, 10], "stopbit": [5, 10], "stdin": [5, 10], "netcat": 5, "l": [5, 10], "5757": 5, "respawn": 5, "respawn_delai": 5, "continu": [5, 7, 8, 11], "scan": 5, "eof": 5, "respawn_interv": 5, "varieti": [5, 9, 10, 11], "openmodem": [5, 11], "period": [5, 7, 9], "beacon": 5, "station": 5, "kissinterfac": [5, 10], "ttyusb1": 5, "preambl": [5, 10], "150": [5, 10], "tail": 5, "txtail": [5, 10], "cdma": 5, "200": [5, 10], "slottim": [5, 10], "20": [5, 9, 10], "elaps": 5, "comment": [5, 10], "amateur": [5, 11], "spectrum": [5, 9], "encapsul": [5, 11], "callsign": 5, "ssid": 5, "realli": [5, 7], "doesn": 5, "incur": 5, "ax25kissinterfac": 5, "no1cll": 5, "ttyusb2": 5, "150m": 5, "increas": [5, 10], "squelch": 5, "rx": [5, 10], "turnaround": 5, "kept": [5, 6, 7, 9], "wast": 5, "bring": 5, "brought": [5, 10], "access_point": 5, "shorthand": [5, 10], "ap": 5, "shorter": 5, "expiri": [5, 7], "mostli": [5, 6, 9], "quiet": [5, 10], "momentarili": 5, "disappear": [5, 10], "network_nam": 5, "passphras": [5, 9], "alon": 5, "ifac_s": 5, "customis": 5, "carri": [5, 7, 9, 10], "512": [5, 7, 9], "announce_cap": [5, 7], "maximum": [5, 7, 9, 10], "alloc": [5, 7, 9, 10], "given": [5, 6, 7, 9, 10], "upkeep": 5, "cap": 5, "queue": [5, 9], "nearbi": 5, "overwhelm": [5, 7], "rapidli": 5, "spam": 5, "effect": 5, "excess": 5, "benefici": [5, 10], "balanc": 5, "necesarri": 5, "bitrat": [5, 7], "report": [5, 7, 8, 10], "guess": 5, "per": [5, 7, 9, 10, 11], "strictli": [5, 9], "complex": [5, 9], "left": [5, 7, 9, 10], "gw": 5, "behalf": 5, "resid": 5, "requestor": 5, "chain": 5, "along": [5, 6, 7, 9, 10], "boundari": 5, "remain": [5, 7, 9], "roam": 5, "mobil": [5, 9], "seen": [5, 9], "perspect": [5, 7, 9, 11], "vehicl": 5, "equip": [5, 6, 9], "side": [5, 11], "expir": 5, "significantli": 5, "impact": [5, 7, 9], "announce_rate_target": 5, "announce_rate_grac": 5, "announce_rate_penalti": 5, "basi": [5, 7, 9, 10, 11], "moder": 5, "violat": 5, "penalti": 5, "7200": 5, "annouce_cap": 5, "mention": [5, 9], "strategi": [5, 7], "decis": [5, 9], "slower": [5, 7, 9], "hop": [5, 6, 7, 9, 10, 11], "right": [5, 6], "tend": 5, "conserv": 5, "span": [5, 9], "seamlessli": 5, "influx": 5, "newli": [5, 6, 7, 9], "previous": [5, 6, 7, 9], "occur": [5, 10, 11], "hold": [5, 7, 9], "interrupt": 5, "burst": 5, "subsid": 5, "held": [5, 10], "decid": [5, 6, 7, 9], "bogu": 5, "retransmit": [5, 9], "ingress": 5, "disrupt": 5, "neccessari": 5, "modifi": [5, 9, 10, 11], "ingress_control": 5, "ic_new_tim": 5, "spawn": 5, "ic_burst_freq_new": 5, "ic_burst_freq": 5, "temporarili": 5, "ic_max_held_announc": 5, "drop": [5, 7, 9, 10], "256": [5, 7, 9, 10], "ic_burst_hold": 5, "threshold": 5, "ic_burst_penalti": 5, "ic_held_release_interv": 5, "30": [5, 7, 10], "knowledg": [6, 9], "tradit": [6, 9], "worri": 6, "coordin": [6, 7, 9, 11], "evolv": 6, "futur": [6, 9], "mind": [6, 11], "autonom": [6, 9], "terminologi": [6, 9], "rest": [6, 11], "billion": [6, 9], "larger": [6, 9, 10], "higher": [6, 11], "discrimin": 6, "ephemer": [6, 7, 9, 11], "ellipt": [6, 7, 9, 11], "curv": [6, 7, 9, 11], "diffi": [6, 9, 11], "hellman": [6, 9, 11], "exchang": [6, 7, 9, 11], "curve25519": [6, 7, 9, 11], "throttl": 6, "thu": [6, 7, 9], "agnost": [6, 9], "equal": [6, 7, 9], "keystor": 6, "degrad": 6, "stationari": [6, 10], "candid": 6, "optim": 6, "intend": [6, 9], "trustless": [6, 9, 11], "free": [6, 8, 11], "unorganis": 6, "manner": 6, "far": [6, 9], "unexplor": 6, "dissolv": 6, "organ": 6, "restrict": [6, 10], "legaci": 6, "unifi": 6, "underli": [6, 11], "carrier": [6, 11], "involv": 6, "hardlin": 6, "illustr": [6, 9], "plan": [6, 9], "member": 6, "mainli": 6, "three": [6, 9], "hill": 6, "top": [6, 9], "chosen": [6, 9], "sight": 6, "becaus": [6, 9], "four": 6, "At": [6, 9], "cabl": 6, "suppli": [6, 7, 9], "grow": 6, "core": [6, 9, 10, 11], "those": [6, 9], "d": [6, 10], "island": 6, "investig": 6, "dori": 6, "willing": 6, "she": 6, "her": 6, "home": [6, 10], "everyon": [6, 9], "vice": [6, 11], "versa": [6, 11], "vhf": [6, 9, 11], "outli": 6, "geograph": 6, "coverag": 6, "dai": [6, 7], "find": [6, 9], "poorli": 6, "outlier": 6, "signatur": [7, 9, 10, 11], "configdir": 7, "logdest": 7, "verbos": [7, 10], "exactli": [7, 9], "independ": [7, 9, 11], "inter": 7, "soon": 7, "kill": [7, 9], "forcibli": [7, 10], "advantag": 7, "demand": 7, "mtu": [7, 9, 11], "500": [7, 9, 11], "adher": 7, "prerequisit": 7, "percentag": 7, "schedul": 7, "queu": [7, 10], "fewer": 7, "distant": 7, "smaller": 7, "eventu": 7, "alter": [7, 9], "minimum_bitr": 7, "static": 7, "get_inst": 7, "should_use_implicit_proof": 7, "explicit": 7, "implicit": [7, 9], "transport_en": 7, "remote_management_en": 7, "create_kei": 7, "decrypt": [7, 9, 10], "verif": [7, 9, 10], "sign": [7, 9, 10], "dh": 7, "keysiz": 7, "x": [7, 9, 10], "25519": 7, "concaten": 7, "ratchets": 7, "ratchet": [7, 9], "ratchet_expiri": 7, "2592000": 7, "recent": [7, 9], "rememb": [7, 9], "discard": [7, 9], "newer": [7, 9], "meantim": 7, "128": [7, 9, 11], "constant": 7, "truncat": [7, 9, 10], "recall_app_data": 7, "heard": [7, 9], "full_hash": 7, "sha": [7, 9], "truncated_hash": 7, "current_ratchet_id": 7, "from_byt": 7, "prv_byte": 7, "save": [7, 9], "hazard": 7, "feed": 7, "from_fil": 7, "to_fil": 7, "Be": [7, 10], "get_private_kei": 7, "get_public_kei": 7, "load_private_kei": 7, "load_public_kei": 7, "pub_byt": 7, "ciphertext": 7, "token": [7, 9], "keyerror": 7, "ciphertext_token": 7, "enforce_ratchet": 7, "ratchet_id_receiv": 7, "presenc": 7, "ingo": 7, "zero": [7, 11], "ratchet_count": 7, "ratchet_interv": 7, "1800": 7, "rotat": [7, 9], "expand_nam": 7, "app_and_aspects_from_nam": 7, "full_nam": [7, 10], "tupl": 7, "hash_from_name_and_ident": 7, "adress": [7, 9], "path_respons": 7, "attached_interfac": 7, "tag": 7, "flag": [7, 9], "accepts_link": 7, "accept": [7, 8, 9, 10], "set_proof_requested_callback": 7, "proof_strategi": 7, "prove_non": 7, "prove_app": 7, "proof_requested_callback": 7, "allow_non": 7, "allowed_list": 7, "whatev": [7, 9], "funcion": 7, "allow_list": 7, "deregister_request_handl": 7, "deregist": 7, "enable_ratchet": 7, "ratchets_path": 7, "secreci": [7, 9, 11], "outsid": [7, 11], "succeed": 7, "set_retained_ratchet": 7, "retained_ratchet": 7, "set_ratchet_interv": 7, "symmetr": [7, 9], "typeerror": 7, "incompat": [7, 9], "set_default_app_data": 7, "clear_default_app_data": 7, "ae": [7, 9, 11], "instanti": 7, "encrypted_mdu": 7, "383": 7, "plain_mdu": 7, "464": 7, "resend": 7, "get_rssi": 7, "signal": [7, 9], "strength": [7, 11], "get_snr": 7, "nois": 7, "ratio": 7, "get_q": 7, "qualiti": 7, "get_statu": 7, "cull": 7, "successful": 7, "proven": [7, 9], "established_callback": 7, "closed_callback": 7, "establishment_timeout_per_hop": 7, "keepalive_timeout_factor": 7, "4": [7, 9, 10], "calcul": [7, 9, 10], "stale_grac": 7, "grace": 7, "keepal": [7, 9], "360": 7, "aliv": [7, 9], "stale_tim": 7, "720": 7, "mark": [7, 9], "stale": 7, "torn": 7, "progress_callback": 7, "info": [7, 9, 10], "track_phy_stat": 7, "track": 7, "retriev": 7, "stat": [7, 10], "get_establishment_r": 7, "ocur": 7, "get_ag": 7, "no_inbound_for": 7, "no_outbound_for": 7, "outbound": [7, 9], "no_data_for": 7, "travers": [7, 9], "exclud": [7, 9], "inactive_for": 7, "purg": 7, "set_resource_callback": 7, "get_transfer_s": 7, "get_data_s": 7, "get_part": 7, "is_compress": 7, "begun": 7, "resource_strategi": 7, "accept_non": 7, "accept_app": 7, "resource_callback": 7, "unsupport": 7, "get_request_id": 7, "get_respons": 7, "get_response_tim": 7, "auto_compress": 7, "arbitrari": [7, 9, 10, 11], "checksum": [7, 11], "Will": 7, "whenev": [7, 9], "cancel": 7, "total": [7, 9, 11], "get_seg": 7, "divid": 7, "get_hash": 7, "bi": [7, 9], "neither": [7, 9], "constrain": 7, "message_class": 7, "recept": 7, "messagecallbacktyp": 7, "bool": 7, "stop": [7, 11], "remove_message_handl": 7, "remov": [7, 10], "envelop": 7, "thrown": 7, "consum": 7, "adjust": 7, "accommod": [7, 9], "abstract": [7, 9], "greater": [7, 9, 11], "popul": 7, "bufferedread": 7, "bufferedwrit": 7, "bufferedrwpair": 7, "create_read": 7, "ready_callback": 7, "document": [7, 9], "create_writ": 7, "receive_stream_id": 7, "send_stream_id": 7, "rawiobas": 7, "add_ready_callback": 7, "cb": [7, 9], "remove_ready_callback": 7, "pathfinder_m": 7, "attribut": 7, "receive_path_respons": 7, "live": 7, "deregister_announce_handl": 7, "hops_to": 7, "next_hop": 7, "next_hop_interfac": 7, "on_interfac": 7, "recurs": 7, "gratefulli": 8, "monero": 8, "84fpy1qbxhcgdseepynmhthcrgmx4nffbytz2gkytoqhvvhjp8eaw1z1eedrnkd19b3b8nilcgvxzkv17ummmeescrpya5w": 8, "ethereum": 8, "0x81f7b979fea6134ba9fd5c701b3501a2e61e897a": 8, "bitcoin": 8, "3cpmacgm34qyvr6xwlvejmi2ane3pzquuq": 8, "ko": 8, "fi": 8, "roadmap": 8, "realiti": 8, "quickli": [8, 9, 11], "sponsor": [8, 11], "dysfunct": 8, "analyt": 8, "telemetri": 8, "collect": 8, "under": [8, 10, 11], "circumst": [8, 9], "reli": [8, 9], "fashion": 8, "u": [8, 9, 10], "suggest": 8, "overal": 9, "principl": [9, 11], "author": 9, "That": 9, "said": 9, "pretti": 9, "sentiment": 9, "philosophi": 9, "seek": 9, "solv": 9, "primari": 9, "lack": 9, "my": 9, "belief": 9, "highli": 9, "machin": 9, "censorship": [9, 11], "barrier": 9, "todai": 9, "centralis": 9, "trust": [9, 10], "approv": 9, "gatekeep": 9, "inevit": 9, "govern": 9, "censor": 9, "persecut": 9, "unwant": 9, "actor": 9, "imposs": 9, "freeli": 9, "deploi": 9, "enhanc": 9, "agenc": 9, "freedom": 9, "permissionless": 9, "pick": 9, "ghz": 9, "off": [9, 10, 11], "shelf": [9, 11], "ultim": 9, "vast": 9, "myriad": 9, "thousand": 9, "surveil": 9, "disassoci": 9, "oversight": 9, "beings": 9, "useabl": 9, "critic": [9, 10], "agnostic": 9, "shall": 9, "handheld": 9, "strong": 9, "oneself": 9, "unlicens": 9, "licens": 9, "condit": [9, 11], "pmr": 9, "written": 9, "programm": 9, "orient": 9, "multipoint": 9, "recipi": 9, "singular": 9, "_represented_": 9, "characterist": 9, "13425ec15b621c1d928589718000d814": 9, "trade": 9, "simultan": 9, "unlik": 9, "nears": 9, "congest": 9, "galact": 9, "scale": [9, 11], "obvious": 9, "ridicul": 9, "asymmetr": [9, 10, 11], "special": [9, 10], "ecdh": [9, 11], "creator": 9, "correspond": 9, "possess": 9, "although": [9, 11], "capabl": 9, "dot": [9, 10], "notat": [9, 10], "repres": 9, "plenti": 9, "environment": 9, "monitor": 9, "measur": 9, "environmentlogg": 9, "remotesensor": 9, "temperatur": 9, "4faf1b2e0a077e6a9d92fa051f256038": 9, "advis": 9, "expand": 9, "recap": 9, "indirectli": 9, "ledger": 9, "therefor": [9, 11], "messeng": 9, "nicknam": 9, "ed25519": [9, 11], "reconstruct": 9, "notic": [9, 10], "piec": 9, "intention": 9, "infer": 9, "throughout": 9, "accord": 9, "around": 9, "topic": 9, "necessarili": 9, "entiti": 9, "robot": 9, "sensor": 9, "someth": 9, "agent": 9, "manipul": [9, 11], "multilater": 9, "creation": [9, 11], "great": 9, "suffic": 9, "cluster": 9, "hear": 9, "But": 9, "lot": 9, "surviv": 9, "overcom": 9, "challeng": 9, "closer": 9, "distinguish": 9, "contend": 9, "enable_transport": [9, 10], "randomis": 9, "prioriti": 9, "invers": 9, "proport": 9, "count": 9, "insert": 9, "closest": 9, "newest": 9, "contact": 9, "shortest": 9, "predict": 9, "constantli": 9, "earlier": 9, "opt": 9, "against": 9, "bidirect": 9, "ten": 9, "advanc": 9, "confirm": [9, 11], "insid": 9, "intermediari": 9, "moment": [9, 11], "methodologi": [9, 10], "answer": [9, 10], "malici": 9, "pretend": 9, "recreat": 9, "memori": 9, "thereaft": 9, "297": [9, 11], "neglig": 9, "1200": 9, "96": 9, "x25519": [9, 11], "keypair": 9, "simplic": 9, "explan": [9, 10], "lki": 9, "laid": 9, "had": 9, "construct": [9, 11], "lkr": 9, "chose": 9, "direction": 9, "unequivoc": 9, "retransmiss": 9, "reassembl": 9, "programmat": 9, "satisfi": 9, "half": [9, 11], "duplex": [9, 11], "averag": 9, "throughput": [9, 11], "runtim": 9, "consist": 9, "whereupon": 9, "stabl": [9, 11], "stage": 9, "year": 9, "microcontrol": 9, "430": 9, "868": 9, "900": 9, "tailor": 9, "treat": 9, "regard": 9, "preshar": 9, "ifac": [9, 10], "compos": 9, "field": 9, "context": 9, "465": 9, "vari": [9, 10], "unset": 9, "00": [9, 10], "01": 9, "11": 9, "_______": 9, "________________": 9, "________": 9, "______": 9, "__": 9, "_": 9, "01010000": 9, "00000100": 9, "hash1": 9, "hash2": 9, "header_2": 9, "00000000": 9, "00000111": 9, "header_1": 9, "10000000": 9, "51": 9, "167": 9, "83": [9, 10], "115": 9, "99": 9, "conceptu": 9, "hkdf": 9, "fernet": [9, 11], "cbc": [9, 11], "hmac": [9, 11], "hashlib": 9, "pkcs7": [9, 11], "pad": [9, 11], "trivial": [9, 11], "consequ": 9, "backend": 9, "loss": 9, "scrutini": 9, "review": [9, 11], "risk": 9, "driver": [10, 11], "privileg": 10, "light": 10, "weight": 10, "etc": 10, "boot": 10, "ie": 10, "breviti": 10, "socket": 10, "turn": 10, "share_inst": 10, "shared_instance_port": 10, "37428": 10, "instance_control_port": 10, "37429": 10, "rpc": 10, "rpc_kei": 10, "e5c032d3ec4e64a6aca9927ba8ab73336780f6d71790": 10, "panic": 10, "unrecover": 10, "panic_on_interface_error": 10, "probe": 10, "respond_to_prob": 10, "warn": 10, "debug": 10, "unus": 10, "teach": 10, "task": 10, "08": 10, "18": 10, "17": 10, "59": 10, "56": 10, "v": 10, "show": 10, "ifconfig": 10, "gbp": 10, "13": 10, "kb": 10, "86": 10, "mbp": 10, "63": 10, "23": 10, "80": 10, "tcpinterfac": 10, "dublin": 10, "187": 10, "27": 10, "74": 10, "uhf": [10, 11], "kbp": 10, "e702c42ba8": 10, "49": 10, "9": 10, "5245a8efe1788c6a1cd36144a270e13b": 10, "j": 10, "w": 10, "posit": 10, "arx": 10, "atx": 10, "revers": 10, "json": 10, "new_ident": 10, "984b74a3f768bef236af4371e6f248cd": 10, "new_id": 10, "0f4259fef4521ab75a3409e353fe9073eb10783b4912a6a9937c57bf44a62c1": 10, "hidden": 10, "8dd57a738226809646089335a6b03695": 10, "my_fil": 10, "txt": 10, "bc7291552be7a58f361522990465165c": 10, "rfe": 10, "fetch": 10, "30602def3b3506a28ed33db6f60cc6c9": 10, "2b489d06eaf7c543808c76a5332a447d": 10, "my_ident": 10, "2225fdeecaf6e2db4556c3c2d7637294": 10, "decreas": 10, "overwrit": 10, "c89b4da064bf66d280f0e4d8abfd9806": 10, "f53a1c4278e0726bb73fcc623d6ce763": 10, "max": 10, "rnstransport": 10, "2d03725b327348980d570f739a3a5708": 10, "38": 10, "469": 10, "781": 10, "e7536ee90bd4a440e130490b87a25124": 10, "809": 10, "1726dbad538775b5bf9b0ea25a4079c8": 10, "c50cc4e4f7838b6c31f60ab9032cbc62": 10, "allowed_ident": 10, "copi": 10, "tgz": 10, "73cbd378bb0286ed11a707c13447bb1": 10, "allowed_hash": 10, "silent": 10, "jail": 10, "auth": 10, "941bed5e228775e5a8079fc38b1ccf3f": 10, "1b03013c25f1c2ca068a4f080b844a10": 10, "7a55144adf826958a9529a3bcf08b149": 10, "cat": 10, "proc": 10, "cpuinfo": 10, "pseudo": 10, "stderr": 10, "noauth": 10, "noid": 10, "mirror": 10, "fw": 10, "url": 10, "nocheck": 10, "flash": 10, "baud_flash": 10, "addr": 10, "freq": 10, "hz": 10, "bw": 10, "txp": 10, "sf": 10, "cr": 10, "eeprom": 10, "backup": 10, "dump": 10, "wipe": 10, "hexbyt": 10, "firmware_hash": 10, "product": 10, "model": 10, "hwrev": 10, "revis": 10, "attach": 10, "older": 10, "onlin": 10, "extract": 10, "cach": 10, "921600": 10, "bluetooth": 10, "intens": 10, "ff": 10, "consol": 10, "unlock": 10, "bootstrap": 10, "rom": 10, "enable_remote_manag": 10, "remote_management_allow": 10, "9fb6d773498fb3feda407ed8ef2c3229": 10, "2d882c5586e548d79b5af27bca1776dc": 10, "administr": 10, "ftdi_ft230x_basic_uart_43891ckm": 10, "if00": 10, "port0": 10, "plug": 10, "unplug": 10, "systemd": 10, "usr": 10, "bin": 10, "execstartpr": 10, "restart": 10, "restartsec": 10, "usernameher": 10, "execstart": 10, "wantedbi": 10, "systemctl": 10, "whole": 10, "regular": 10, "rns_bin_dir": 10, "eg": 10, "reload": 10, "loginctl": 10, "linger": 10, "readili": 11, "advers": 11, "unforg": 11, "acknowledg": 11, "empow": 11, "autonomi": 11, "sovereignti": 11, "subject": 11, "planetari": 11, "hierarch": 11, "beaureucrat": 11, "resili": 11, "userland": 11, "beta": 11, "bug": 11, "warrant": 11, "sha256": 11, "iv": 11, "urandom": 11, "intuit": 11, "44": 11, "gigabyt": 11, "scalabl": 11, "hoc": 11, "transform": 11, "fact": 11, "generalis": 11, "fibr": 11, "popular": 11, "ideal": 11, "stdio": 11, "hack": 11, "foremost": 11, "audit": 11, "privaci": 11, "thorough": 11, "research": 11, "touch": 11}, "objects": {"RNS": [[7, 0, 1, "", "Buffer"], [7, 0, 1, "", "Destination"], [7, 0, 1, "", "Identity"], [7, 0, 1, "", "Link"], [7, 0, 1, "", "MessageBase"], [7, 0, 1, "", "Packet"], [7, 0, 1, "", "PacketReceipt"], [7, 0, 1, "", "RawChannelReader"], [7, 0, 1, "", "RawChannelWriter"], [7, 0, 1, "", "RequestReceipt"], [7, 0, 1, "", "Resource"], [7, 0, 1, "", "Reticulum"], [7, 0, 1, "", "Transport"]], "RNS.Buffer": [[7, 1, 1, "", "create_bidirectional_buffer"], [7, 1, 1, "", "create_reader"], [7, 1, 1, "", "create_writer"]], "RNS.Channel": [[7, 0, 1, "", "Channel"]], "RNS.Channel.Channel": [[7, 2, 1, "", "MDU"], [7, 1, 1, "", "add_message_handler"], [7, 1, 1, "", "is_ready_to_send"], [7, 1, 1, "", "register_message_type"], [7, 1, 1, "", "remove_message_handler"], [7, 1, 1, "", "send"]], "RNS.Destination": [[7, 3, 1, "", "RATCHET_COUNT"], [7, 3, 1, "", "RATCHET_INTERVAL"], [7, 1, 1, "", "accepts_links"], [7, 1, 1, "", "announce"], [7, 1, 1, "", "app_and_aspects_from_name"], [7, 1, 1, "", "clear_default_app_data"], [7, 1, 1, "", "create_keys"], [7, 1, 1, "", "decrypt"], [7, 1, 1, "", "deregister_request_handler"], [7, 1, 1, "", "enable_ratchets"], [7, 1, 1, "", "encrypt"], [7, 1, 1, "", "enforce_ratchets"], [7, 1, 1, "", "expand_name"], [7, 1, 1, "", "get_private_key"], [7, 1, 1, "", "hash"], [7, 1, 1, "", "hash_from_name_and_identity"], [7, 1, 1, "", "load_private_key"], [7, 1, 1, "", "register_request_handler"], [7, 1, 1, "", "set_default_app_data"], [7, 1, 1, "", "set_link_established_callback"], [7, 1, 1, "", "set_packet_callback"], [7, 1, 1, "", "set_proof_requested_callback"], [7, 1, 1, "", "set_proof_strategy"], [7, 1, 1, "", "set_ratchet_interval"], [7, 1, 1, "", "set_retained_ratchets"], [7, 1, 1, "", "sign"]], "RNS.Identity": [[7, 3, 1, "", "CURVE"], [7, 3, 1, "", "KEYSIZE"], [7, 3, 1, "", "RATCHETSIZE"], [7, 3, 1, "", "RATCHET_EXPIRY"], [7, 3, 1, "", "TRUNCATED_HASHLENGTH"], [7, 1, 1, "", "current_ratchet_id"], [7, 1, 1, "", "decrypt"], [7, 1, 1, "", "encrypt"], [7, 1, 1, "", "from_bytes"], [7, 1, 1, "", "from_file"], [7, 1, 1, "", "full_hash"], [7, 1, 1, "", "get_private_key"], [7, 1, 1, "", "get_public_key"], [7, 1, 1, "", "get_random_hash"], [7, 1, 1, "", "load_private_key"], [7, 1, 1, "", "load_public_key"], [7, 1, 1, "", "recall"], [7, 1, 1, "", "recall_app_data"], [7, 1, 1, "", "sign"], [7, 1, 1, "", "to_file"], [7, 1, 1, "", "truncated_hash"], [7, 1, 1, "", "validate"]], "RNS.Link": [[7, 3, 1, "", "CURVE"], [7, 3, 1, "", "ESTABLISHMENT_TIMEOUT_PER_HOP"], [7, 3, 1, "", "KEEPALIVE"], [7, 3, 1, "", "KEEPALIVE_TIMEOUT_FACTOR"], [7, 3, 1, "", "STALE_GRACE"], [7, 3, 1, "", "STALE_TIME"], [7, 1, 1, "", "get_age"], [7, 1, 1, "", "get_channel"], [7, 1, 1, "", "get_establishment_rate"], [7, 1, 1, "", "get_q"], [7, 1, 1, "", "get_remote_identity"], [7, 1, 1, "", "get_rssi"], [7, 1, 1, "", "get_snr"], [7, 1, 1, "", "identify"], [7, 1, 1, "", "inactive_for"], [7, 1, 1, "", "no_data_for"], [7, 1, 1, "", "no_inbound_for"], [7, 1, 1, "", "no_outbound_for"], [7, 1, 1, "", "request"], [7, 1, 1, "", "set_link_closed_callback"], [7, 1, 1, "", "set_packet_callback"], [7, 1, 1, "", "set_remote_identified_callback"], [7, 1, 1, "", "set_resource_callback"], [7, 1, 1, "", "set_resource_concluded_callback"], [7, 1, 1, "", "set_resource_started_callback"], [7, 1, 1, "", "set_resource_strategy"], [7, 1, 1, "", "teardown"], [7, 1, 1, "", "track_phy_stats"]], "RNS.MessageBase": [[7, 3, 1, "", "MSGTYPE"], [7, 1, 1, "", "pack"], [7, 1, 1, "", "unpack"]], "RNS.Packet": [[7, 3, 1, "", "ENCRYPTED_MDU"], [7, 3, 1, "", "PLAIN_MDU"], [7, 1, 1, "", "get_q"], [7, 1, 1, "", "get_rssi"], [7, 1, 1, "", "get_snr"], [7, 1, 1, "", "resend"], [7, 1, 1, "", "send"]], "RNS.PacketReceipt": [[7, 1, 1, "", "get_rtt"], [7, 1, 1, "", "get_status"], [7, 1, 1, "", "set_delivery_callback"], [7, 1, 1, "", "set_timeout"], [7, 1, 1, "", "set_timeout_callback"]], "RNS.RawChannelReader": [[7, 1, 1, "", "__init__"], [7, 1, 1, "", "add_ready_callback"], [7, 1, 1, "", "remove_ready_callback"]], "RNS.RawChannelWriter": [[7, 1, 1, "", "__init__"]], "RNS.RequestReceipt": [[7, 1, 1, "", "concluded"], [7, 1, 1, "", "get_progress"], [7, 1, 1, "", "get_request_id"], [7, 1, 1, "", "get_response"], [7, 1, 1, "", "get_response_time"], [7, 1, 1, "", "get_status"]], "RNS.Resource": [[7, 1, 1, "", "advertise"], [7, 1, 1, "", "cancel"], [7, 1, 1, "", "get_data_size"], [7, 1, 1, "", "get_hash"], [7, 1, 1, "", "get_parts"], [7, 1, 1, "", "get_progress"], [7, 1, 1, "", "get_segments"], [7, 1, 1, "", "get_transfer_size"], [7, 1, 1, "", "is_compressed"]], "RNS.Reticulum": [[7, 3, 1, "", "ANNOUNCE_CAP"], [7, 3, 1, "", "MINIMUM_BITRATE"], [7, 3, 1, "", "MTU"], [7, 1, 1, "", "get_instance"], [7, 1, 1, "", "remote_management_enabled"], [7, 1, 1, "", "should_use_implicit_proof"], [7, 1, 1, "", "transport_enabled"]], "RNS.Transport": [[7, 3, 1, "", "PATHFINDER_M"], [7, 1, 1, "", "deregister_announce_handler"], [7, 1, 1, "", "has_path"], [7, 1, 1, "", "hops_to"], [7, 1, 1, "", "next_hop"], [7, 1, 1, "", "next_hop_interface"], [7, 1, 1, "", "register_announce_handler"], [7, 1, 1, "", "request_path"]]}, "objtypes": {"0": "py:class", "1": "py:method", "2": "py:property", "3": "py:attribute"}, "objnames": {"0": ["py", "class", "Python class"], "1": ["py", "method", "Python method"], "2": ["py", "property", "Python property"], "3": ["py", "attribute", "Python attribute"]}, "titleterms": {"code": [0, 8, 9], "exampl": [0, 6], "minim": 0, "announc": [0, 5, 9], "broadcast": 0, "echo": 0, "link": [0, 9], "identif": 0, "request": 0, "respons": 0, "channel": 0, "buffer": 0, "filetransf": 0, "an": 1, "explan": 1, "reticulum": [1, 2, 3, 4, 8, 9, 10, 11], "human": 1, "Beings": 1, "get": [2, 9], "start": 2, "fast": 2, "standalon": 2, "instal": [2, 3], "resolv": 2, "depend": 2, "issu": 2, "try": 2, "us": [2, 10, 11], "base": [2, 3], "program": [2, 10], "remot": [2, 10], "shell": 2, "nomad": 2, "network": [2, 4, 6], "sideband": 2, "meshchat": 2, "includ": [2, 10], "util": [2, 10], "creat": [2, 3], "With": 2, "connect": 2, "instanc": 2, "over": [2, 6], "internet": [2, 6], "public": [2, 9], "testnet": 2, "ad": 2, "radio": [2, 3], "interfac": [2, 5, 9, 11], "develop": 2, "particip": 2, "platform": 2, "specif": [2, 9], "note": 2, "android": 2, "arm64": 2, "raspberri": 2, "pi": 2, "debian": 2, "bookworm": 2, "ubuntu": 2, "lunar": 2, "pure": 2, "python": 2, "commun": 3, "hardwar": 3, "combin": 3, "type": [3, 9, 11], "rnode": [3, 5], "support": [3, 8], "board": 3, "lilygo": 3, "t": 3, "beam": 3, "suprem": 3, "t3s3": 3, "rak4631": 3, "unsign": 3, "v2": 3, "x": 3, "lora32": 3, "1": 3, "0": 3, "v1": 3, "deck": 3, "heltec": 3, "v3": 3, "usag": 3, "wifi": 3, "ethernet": 3, "serial": [3, 5, 10], "line": 3, "devic": [3, 11], "packet": [3, 9], "modem": 3, "stack": 4, "manual": 4, "tabl": 4, "Of": 4, "content": 4, "indic": 4, "configur": [5, 10], "auto": 5, "i2p": 5, "tcp": 5, "server": 5, "client": 5, "udp": 5, "lora": [5, 6], "multi": 5, "pipe": 5, "kiss": 5, "ax": 5, "25": 5, "common": 5, "option": 5, "mode": 5, "rate": 5, "control": 5, "new": 5, "destin": [5, 9], "limit": 5, "build": 6, "concept": 6, "overview": 6, "scenario": 6, "interconnect": 6, "site": 6, "bridg": 6, "growth": 6, "converg": 6, "api": 7, "refer": [7, 9], "donat": 8, "provid": 8, "feedback": 8, "contribut": 8, "understand": 9, "motiv": 9, "goal": 9, "introduct": 9, "basic": 9, "function": 9, "name": [9, 10], "kei": 9, "ident": 9, "further": 9, "transport": 9, "node": 9, "The": [9, 10], "mechan": 9, "detail": 9, "reach": 9, "establish": 9, "resourc": 9, "setup": 9, "protocol": 9, "prioritis": 9, "access": 9, "wire": 9, "format": 9, "propag": 9, "rule": 9, "cryptograph": 9, "primit": 9, "your": 10, "system": 10, "data": 10, "rnsd": 10, "rnstatu": 10, "rnid": 10, "rnpath": 10, "rnprobe": 10, "rncp": 10, "rnx": 10, "rnodeconf": 10, "manag": 10, "improv": 10, "fix": 10, "port": 10, "servic": 10, "systemwid": 10, "userspac": 10, "what": 11, "i": 11, "current": 11, "statu": 11, "doe": 11, "offer": 11, "where": 11, "can": 11, "caveat": 11, "emptor": 11}, "envversion": {"sphinx.domains.c": 2, "sphinx.domains.changeset": 1, "sphinx.domains.citation": 1, "sphinx.domains.cpp": 8, "sphinx.domains.index": 1, "sphinx.domains.javascript": 2, "sphinx.domains.math": 2, "sphinx.domains.python": 3, "sphinx.domains.rst": 2, "sphinx.domains.std": 2, "sphinx": 57}, "alltitles": {"Code Examples": [[0, "code-examples"]], "Minimal": [[0, "minimal"]], "Announce": [[0, "announce"]], "Broadcast": [[0, "broadcast"]], "Echo": [[0, "echo"]], "Link": [[0, "link"]], "Identification": [[0, "example-identify"]], "Requests & Responses": [[0, "requests-responses"]], "Channel": [[0, "channel"]], "Buffer": [[0, "buffer"]], "Filetransfer": [[0, "filetransfer"]], "An Explanation of Reticulum for Human Beings": [[1, "an-explanation-of-reticulum-for-human-beings"]], "Getting Started Fast": [[2, "getting-started-fast"]], "Standalone Reticulum Installation": [[2, "standalone-reticulum-installation"]], "Resolving Dependency & Installation Issues": [[2, "resolving-dependency-installation-issues"]], "Try Using a Reticulum-based Program": [[2, "try-using-a-reticulum-based-program"]], "Remote Shell": [[2, "remote-shell"]], "Nomad Network": [[2, "nomad-network"]], "Sideband": [[2, "sideband"]], "MeshChat": [[2, "meshchat"]], "Using the Included Utilities": [[2, "using-the-included-utilities"]], "Creating a Network With Reticulum": [[2, "creating-a-network-with-reticulum"]], "Connecting Reticulum Instances Over the Internet": [[2, "connecting-reticulum-instances-over-the-internet"]], "Connect to the Public Testnet": [[2, "connect-to-the-public-testnet"]], "Adding Radio Interfaces": [[2, "adding-radio-interfaces"]], "Develop a Program with Reticulum": [[2, "develop-a-program-with-reticulum"]], "Participate in Reticulum Development": [[2, "participate-in-reticulum-development"]], "Platform-Specific Install Notes": [[2, "platform-specific-install-notes"]], "Android": [[2, "android"]], "ARM64": [[2, "arm64"]], "Raspberry Pi": [[2, "raspberry-pi"]], "Debian Bookworm": [[2, "debian-bookworm"]], "Ubuntu Lunar": [[2, "ubuntu-lunar"]], "Pure-Python Reticulum": [[2, "pure-python-reticulum"]], "Communications Hardware": [[3, "communications-hardware"]], "Combining Hardware Types": [[3, "combining-hardware-types"]], "RNode": [[3, "rnode"]], "Creating RNodes": [[3, "creating-rnodes"]], "Supported Boards": [[3, "supported-boards"]], "LilyGO T-Beam Supreme": [[3, "lilygo-t-beam-supreme"]], "LilyGO T-Beam": [[3, "lilygo-t-beam"]], "LilyGO T3S3": [[3, "lilygo-t3s3"]], "RAK4631-based Boards": [[3, "rak4631-based-boards"]], "Unsigned RNode v2.x": [[3, "unsigned-rnode-v2-x"]], "LilyGO LoRa32 v2.1": [[3, "lilygo-lora32-v2-1"]], "LilyGO LoRa32 v2.0": [[3, "lilygo-lora32-v2-0"]], "LilyGO LoRa32 v1.0": [[3, "lilygo-lora32-v1-0"]], "LilyGO T-Deck": [[3, "lilygo-t-deck"]], "Heltec LoRa32 v3.0": [[3, "heltec-lora32-v3-0"]], "Heltec LoRa32 v2.0": [[3, "heltec-lora32-v2-0"]], "Unsigned RNode v1.x": [[3, "unsigned-rnode-v1-x"]], "Installation": [[3, "installation"]], "Usage with Reticulum": [[3, "usage-with-reticulum"]], "WiFi-based Hardware": [[3, "wifi-based-hardware"]], "Ethernet-based Hardware": [[3, "ethernet-based-hardware"]], "Serial Lines & Devices": [[3, "serial-lines-devices"]], "Packet Radio Modems": [[3, "packet-radio-modems"]], "Reticulum Network Stack Manual": [[4, "reticulum-network-stack-manual"]], "Table Of Contents": [[4, "table-of-contents"]], "Indices and Tables": [[4, "indices-and-tables"]], "Configuring Interfaces": [[5, "configuring-interfaces"]], "Auto Interface": [[5, "auto-interface"]], "I2P Interface": [[5, "i2p-interface"]], "TCP Server Interface": [[5, "tcp-server-interface"]], "TCP Client Interface": [[5, "tcp-client-interface"]], "UDP Interface": [[5, "udp-interface"]], "RNode LoRa Interface": [[5, "rnode-lora-interface"]], "RNode Multi Interface": [[5, "rnode-multi-interface"]], "Serial Interface": [[5, "serial-interface"]], "Pipe Interface": [[5, "pipe-interface"]], "KISS Interface": [[5, "kiss-interface"]], "AX.25 KISS Interface": [[5, "ax-25-kiss-interface"]], "Common Interface Options": [[5, "common-interface-options"]], "Interface Modes": [[5, "interface-modes"]], "Announce Rate Control": [[5, "announce-rate-control"]], "New Destination Rate Limiting": [[5, "new-destination-rate-limiting"]], "Building Networks": [[6, "building-networks"]], "Concepts & Overview": [[6, "concepts-overview"]], "Example Scenarios": [[6, "example-scenarios"]], "Interconnected LoRa Sites": [[6, "interconnected-lora-sites"]], "Bridging Over the Internet": [[6, "bridging-over-the-internet"]], "Growth and Convergence": [[6, "growth-and-convergence"]], "API Reference": [[7, "api-reference"]], "Support Reticulum": [[8, "support-reticulum"]], "Donations": [[8, "donations"]], "Provide Feedback": [[8, "provide-feedback"]], "Contribute Code": [[8, "contribute-code"]], "Understanding Reticulum": [[9, "understanding-reticulum"]], "Motivation": [[9, "motivation"]], "Goals": [[9, "goals"]], "Introduction & Basic Functionality": [[9, "introduction-basic-functionality"]], "Destinations": [[9, "destinations"]], "Destination Naming": [[9, "destination-naming"]], "Public Key Announcements": [[9, "public-key-announcements"]], "Identities": [[9, "understanding-identities"]], "Getting Further": [[9, "getting-further"]], "Reticulum Transport": [[9, "reticulum-transport"]], "Node Types": [[9, "node-types"]], "The Announce Mechanism in Detail": [[9, "the-announce-mechanism-in-detail"]], "Reaching the Destination": [[9, "reaching-the-destination"]], "Link Establishment in Detail": [[9, "link-establishment-in-detail"]], "Resources": [[9, "resources"]], "Reference Setup": [[9, "reference-setup"]], "Protocol Specifics": [[9, "protocol-specifics"]], "Packet Prioritisation": [[9, "packet-prioritisation"]], "Interface Access Codes": [[9, "interface-access-codes"]], "Wire Format": [[9, "wire-format"]], "Announce Propagation Rules": [[9, "announce-propagation-rules"]], "Cryptographic Primitives": [[9, "cryptographic-primitives"]], "Using Reticulum on Your System": [[10, "using-reticulum-on-your-system"]], "Configuration & Data": [[10, "configuration-data"]], "Included Utility Programs": [[10, "included-utility-programs"]], "The rnsd Utility": [[10, "the-rnsd-utility"]], "The rnstatus Utility": [[10, "the-rnstatus-utility"]], "The rnid Utility": [[10, "the-rnid-utility"]], "The rnpath Utility": [[10, "the-rnpath-utility"]], "The rnprobe Utility": [[10, "the-rnprobe-utility"]], "The rncp Utility": [[10, "the-rncp-utility"]], "The rnx Utility": [[10, "the-rnx-utility"]], "The rnodeconf Utility": [[10, "the-rnodeconf-utility"]], "Remote Management": [[10, "remote-management"]], "Improving System Configuration": [[10, "improving-system-configuration"]], "Fixed Serial Port Names": [[10, "fixed-serial-port-names"]], "Reticulum as a System Service": [[10, "reticulum-as-a-system-service"]], "Systemwide Service": [[10, "systemwide-service"]], "Userspace Service": [[10, "userspace-service"]], "What is Reticulum?": [[11, "what-is-reticulum"]], "Current Status": [[11, "current-status"]], "What does Reticulum Offer?": [[11, "what-does-reticulum-offer"]], "Where can Reticulum be Used?": [[11, "where-can-reticulum-be-used"]], "Interface Types and Devices": [[11, "interface-types-and-devices"]], "Caveat Emptor": [[11, "caveat-emptor"]]}, "indexentries": {"announce_cap (rns.reticulum attribute)": [[7, "RNS.Reticulum.ANNOUNCE_CAP"]], "buffer (class in rns)": [[7, "RNS.Buffer"]], "curve (rns.identity attribute)": [[7, "RNS.Identity.CURVE"]], "curve (rns.link attribute)": [[7, "RNS.Link.CURVE"]], "channel (class in rns.channel)": [[7, "RNS.Channel.Channel"]], "destination (class in rns)": [[7, "RNS.Destination"]], "encrypted_mdu (rns.packet attribute)": [[7, "RNS.Packet.ENCRYPTED_MDU"]], "establishment_timeout_per_hop (rns.link attribute)": [[7, "RNS.Link.ESTABLISHMENT_TIMEOUT_PER_HOP"]], "identity (class in rns)": [[7, "RNS.Identity"]], "keepalive (rns.link attribute)": [[7, "RNS.Link.KEEPALIVE"]], "keepalive_timeout_factor (rns.link attribute)": [[7, "RNS.Link.KEEPALIVE_TIMEOUT_FACTOR"]], "keysize (rns.identity attribute)": [[7, "RNS.Identity.KEYSIZE"]], "link (class in rns)": [[7, "RNS.Link"]], "mdu (rns.channel.channel property)": [[7, "RNS.Channel.Channel.MDU"]], "minimum_bitrate (rns.reticulum attribute)": [[7, "RNS.Reticulum.MINIMUM_BITRATE"]], "msgtype (rns.messagebase attribute)": [[7, "RNS.MessageBase.MSGTYPE"]], "mtu (rns.reticulum attribute)": [[7, "RNS.Reticulum.MTU"]], "messagebase (class in rns)": [[7, "RNS.MessageBase"]], "pathfinder_m (rns.transport attribute)": [[7, "RNS.Transport.PATHFINDER_M"]], "plain_mdu (rns.packet attribute)": [[7, "RNS.Packet.PLAIN_MDU"]], "packet (class in rns)": [[7, "RNS.Packet"]], "packetreceipt (class in rns)": [[7, "RNS.PacketReceipt"]], "ratchetsize (rns.identity attribute)": [[7, "RNS.Identity.RATCHETSIZE"]], "ratchet_count (rns.destination attribute)": [[7, "RNS.Destination.RATCHET_COUNT"]], "ratchet_expiry (rns.identity attribute)": [[7, "RNS.Identity.RATCHET_EXPIRY"]], "ratchet_interval (rns.destination attribute)": [[7, "RNS.Destination.RATCHET_INTERVAL"]], "rawchannelreader (class in rns)": [[7, "RNS.RawChannelReader"]], "rawchannelwriter (class in rns)": [[7, "RNS.RawChannelWriter"]], "requestreceipt (class in rns)": [[7, "RNS.RequestReceipt"]], "resource (class in rns)": [[7, "RNS.Resource"]], "reticulum (class in rns)": [[7, "RNS.Reticulum"]], "stale_grace (rns.link attribute)": [[7, "RNS.Link.STALE_GRACE"]], "stale_time (rns.link attribute)": [[7, "RNS.Link.STALE_TIME"]], "truncated_hashlength (rns.identity attribute)": [[7, "RNS.Identity.TRUNCATED_HASHLENGTH"]], "transport (class in rns)": [[7, "RNS.Transport"]], "__init__() (rns.rawchannelreader method)": [[7, "RNS.RawChannelReader.__init__"]], "__init__() (rns.rawchannelwriter method)": [[7, "RNS.RawChannelWriter.__init__"]], "accepts_links() (rns.destination method)": [[7, "RNS.Destination.accepts_links"]], "add_message_handler() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.add_message_handler"]], "add_ready_callback() (rns.rawchannelreader method)": [[7, "RNS.RawChannelReader.add_ready_callback"]], "advertise() (rns.resource method)": [[7, "RNS.Resource.advertise"]], "announce() (rns.destination method)": [[7, "RNS.Destination.announce"]], "app_and_aspects_from_name() (rns.destination static method)": [[7, "RNS.Destination.app_and_aspects_from_name"]], "cancel() (rns.resource method)": [[7, "RNS.Resource.cancel"]], "clear_default_app_data() (rns.destination method)": [[7, "RNS.Destination.clear_default_app_data"]], "concluded() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.concluded"]], "create_bidirectional_buffer() (rns.buffer static method)": [[7, "RNS.Buffer.create_bidirectional_buffer"]], "create_keys() (rns.destination method)": [[7, "RNS.Destination.create_keys"]], "create_reader() (rns.buffer static method)": [[7, "RNS.Buffer.create_reader"]], "create_writer() (rns.buffer static method)": [[7, "RNS.Buffer.create_writer"]], "current_ratchet_id() (rns.identity static method)": [[7, "RNS.Identity.current_ratchet_id"]], "decrypt() (rns.destination method)": [[7, "RNS.Destination.decrypt"]], "decrypt() (rns.identity method)": [[7, "RNS.Identity.decrypt"]], "deregister_announce_handler() (rns.transport static method)": [[7, "RNS.Transport.deregister_announce_handler"]], "deregister_request_handler() (rns.destination method)": [[7, "RNS.Destination.deregister_request_handler"]], "enable_ratchets() (rns.destination method)": [[7, "RNS.Destination.enable_ratchets"]], "encrypt() (rns.destination method)": [[7, "RNS.Destination.encrypt"]], "encrypt() (rns.identity method)": [[7, "RNS.Identity.encrypt"]], "enforce_ratchets() (rns.destination method)": [[7, "RNS.Destination.enforce_ratchets"]], "expand_name() (rns.destination static method)": [[7, "RNS.Destination.expand_name"]], "from_bytes() (rns.identity static method)": [[7, "RNS.Identity.from_bytes"]], "from_file() (rns.identity static method)": [[7, "RNS.Identity.from_file"]], "full_hash() (rns.identity static method)": [[7, "RNS.Identity.full_hash"]], "get_age() (rns.link method)": [[7, "RNS.Link.get_age"]], "get_channel() (rns.link method)": [[7, "RNS.Link.get_channel"]], "get_data_size() (rns.resource method)": [[7, "RNS.Resource.get_data_size"]], "get_establishment_rate() (rns.link method)": [[7, "RNS.Link.get_establishment_rate"]], "get_hash() (rns.resource method)": [[7, "RNS.Resource.get_hash"]], "get_instance() (rns.reticulum static method)": [[7, "RNS.Reticulum.get_instance"]], "get_parts() (rns.resource method)": [[7, "RNS.Resource.get_parts"]], "get_private_key() (rns.destination method)": [[7, "RNS.Destination.get_private_key"]], "get_private_key() (rns.identity method)": [[7, "RNS.Identity.get_private_key"]], "get_progress() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_progress"]], "get_progress() (rns.resource method)": [[7, "RNS.Resource.get_progress"]], "get_public_key() (rns.identity method)": [[7, "RNS.Identity.get_public_key"]], "get_q() (rns.link method)": [[7, "RNS.Link.get_q"]], "get_q() (rns.packet method)": [[7, "RNS.Packet.get_q"]], "get_random_hash() (rns.identity static method)": [[7, "RNS.Identity.get_random_hash"]], "get_remote_identity() (rns.link method)": [[7, "RNS.Link.get_remote_identity"]], "get_request_id() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_request_id"]], "get_response() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_response"]], "get_response_time() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_response_time"]], "get_rssi() (rns.link method)": [[7, "RNS.Link.get_rssi"]], "get_rssi() (rns.packet method)": [[7, "RNS.Packet.get_rssi"]], "get_rtt() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.get_rtt"]], "get_segments() (rns.resource method)": [[7, "RNS.Resource.get_segments"]], "get_snr() (rns.link method)": [[7, "RNS.Link.get_snr"]], "get_snr() (rns.packet method)": [[7, "RNS.Packet.get_snr"]], "get_status() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.get_status"]], "get_status() (rns.requestreceipt method)": [[7, "RNS.RequestReceipt.get_status"]], "get_transfer_size() (rns.resource method)": [[7, "RNS.Resource.get_transfer_size"]], "has_path() (rns.transport static method)": [[7, "RNS.Transport.has_path"]], "hash() (rns.destination static method)": [[7, "RNS.Destination.hash"]], "hash_from_name_and_identity() (rns.destination static method)": [[7, "RNS.Destination.hash_from_name_and_identity"]], "hops_to() (rns.transport static method)": [[7, "RNS.Transport.hops_to"]], "identify() (rns.link method)": [[7, "RNS.Link.identify"]], "inactive_for() (rns.link method)": [[7, "RNS.Link.inactive_for"]], "is_compressed() (rns.resource method)": [[7, "RNS.Resource.is_compressed"]], "is_ready_to_send() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.is_ready_to_send"]], "load_private_key() (rns.destination method)": [[7, "RNS.Destination.load_private_key"]], "load_private_key() (rns.identity method)": [[7, "RNS.Identity.load_private_key"]], "load_public_key() (rns.identity method)": [[7, "RNS.Identity.load_public_key"]], "next_hop() (rns.transport static method)": [[7, "RNS.Transport.next_hop"]], "next_hop_interface() (rns.transport static method)": [[7, "RNS.Transport.next_hop_interface"]], "no_data_for() (rns.link method)": [[7, "RNS.Link.no_data_for"]], "no_inbound_for() (rns.link method)": [[7, "RNS.Link.no_inbound_for"]], "no_outbound_for() (rns.link method)": [[7, "RNS.Link.no_outbound_for"]], "pack() (rns.messagebase method)": [[7, "RNS.MessageBase.pack"]], "recall() (rns.identity static method)": [[7, "RNS.Identity.recall"]], "recall_app_data() (rns.identity static method)": [[7, "RNS.Identity.recall_app_data"]], "register_announce_handler() (rns.transport static method)": [[7, "RNS.Transport.register_announce_handler"]], "register_message_type() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.register_message_type"]], "register_request_handler() (rns.destination method)": [[7, "RNS.Destination.register_request_handler"]], "remote_management_enabled() (rns.reticulum static method)": [[7, "RNS.Reticulum.remote_management_enabled"]], "remove_message_handler() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.remove_message_handler"]], "remove_ready_callback() (rns.rawchannelreader method)": [[7, "RNS.RawChannelReader.remove_ready_callback"]], "request() (rns.link method)": [[7, "RNS.Link.request"]], "request_path() (rns.transport static method)": [[7, "RNS.Transport.request_path"]], "resend() (rns.packet method)": [[7, "RNS.Packet.resend"]], "send() (rns.channel.channel method)": [[7, "RNS.Channel.Channel.send"]], "send() (rns.packet method)": [[7, "RNS.Packet.send"]], "set_default_app_data() (rns.destination method)": [[7, "RNS.Destination.set_default_app_data"]], "set_delivery_callback() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.set_delivery_callback"]], "set_link_closed_callback() (rns.link method)": [[7, "RNS.Link.set_link_closed_callback"]], "set_link_established_callback() (rns.destination method)": [[7, "RNS.Destination.set_link_established_callback"]], "set_packet_callback() (rns.destination method)": [[7, "RNS.Destination.set_packet_callback"]], "set_packet_callback() (rns.link method)": [[7, "RNS.Link.set_packet_callback"]], "set_proof_requested_callback() (rns.destination method)": [[7, "RNS.Destination.set_proof_requested_callback"]], "set_proof_strategy() (rns.destination method)": [[7, "RNS.Destination.set_proof_strategy"]], "set_ratchet_interval() (rns.destination method)": [[7, "RNS.Destination.set_ratchet_interval"]], "set_remote_identified_callback() (rns.link method)": [[7, "RNS.Link.set_remote_identified_callback"]], "set_resource_callback() (rns.link method)": [[7, "RNS.Link.set_resource_callback"]], "set_resource_concluded_callback() (rns.link method)": [[7, "RNS.Link.set_resource_concluded_callback"]], "set_resource_started_callback() (rns.link method)": [[7, "RNS.Link.set_resource_started_callback"]], "set_resource_strategy() (rns.link method)": [[7, "RNS.Link.set_resource_strategy"]], "set_retained_ratchets() (rns.destination method)": [[7, "RNS.Destination.set_retained_ratchets"]], "set_timeout() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.set_timeout"]], "set_timeout_callback() (rns.packetreceipt method)": [[7, "RNS.PacketReceipt.set_timeout_callback"]], "should_use_implicit_proof() (rns.reticulum static method)": [[7, "RNS.Reticulum.should_use_implicit_proof"]], "sign() (rns.destination method)": [[7, "RNS.Destination.sign"]], "sign() (rns.identity method)": [[7, "RNS.Identity.sign"]], "teardown() (rns.link method)": [[7, "RNS.Link.teardown"]], "to_file() (rns.identity method)": [[7, "RNS.Identity.to_file"]], "track_phy_stats() (rns.link method)": [[7, "RNS.Link.track_phy_stats"]], "transport_enabled() (rns.reticulum static method)": [[7, "RNS.Reticulum.transport_enabled"]], "truncated_hash() (rns.identity static method)": [[7, "RNS.Identity.truncated_hash"]], "unpack() (rns.messagebase method)": [[7, "RNS.MessageBase.unpack"]], "validate() (rns.identity method)": [[7, "RNS.Identity.validate"]]}}) \ No newline at end of file diff --git a/docs/manual/support.html b/docs/manual/support.html index a792b82..db7a720 100644 --- a/docs/manual/support.html +++ b/docs/manual/support.html @@ -6,7 +6,7 @@ - Support Reticulum - Reticulum Network Stack 0.8.4 beta documentation + Support Reticulum - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/understanding.html b/docs/manual/understanding.html index beedc01..246cc71 100644 --- a/docs/manual/understanding.html +++ b/docs/manual/understanding.html @@ -6,7 +6,7 @@ - Understanding Reticulum - Reticulum Network Stack 0.8.4 beta documentation + Understanding Reticulum - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/using.html b/docs/manual/using.html index 2fb2a20..09ce07f 100644 --- a/docs/manual/using.html +++ b/docs/manual/using.html @@ -6,7 +6,7 @@ - Using Reticulum on Your System - Reticulum Network Stack 0.8.4 beta documentation + Using Reticulum on Your System - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation diff --git a/docs/manual/whatis.html b/docs/manual/whatis.html index 364b44a..6754d00 100644 --- a/docs/manual/whatis.html +++ b/docs/manual/whatis.html @@ -6,7 +6,7 @@ - What is Reticulum? - Reticulum Network Stack 0.8.4 beta documentation + What is Reticulum? - Reticulum Network Stack 0.8.5 beta documentation @@ -141,7 +141,7 @@
    @@ -167,7 +167,7 @@
    - Reticulum Network Stack 0.8.4 beta documentation + Reticulum Network Stack 0.8.5 beta documentation